Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

EOS S3 fixes #666

Open
wants to merge 3 commits into
base: main
Choose a base branch
from
Open
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
19 changes: 11 additions & 8 deletions f4pga/flows/platforms.yml
Original file line number Diff line number Diff line change
Expand Up @@ -251,7 +251,7 @@ ql-eos-s3:
bb_factor: '10'
initial_pres_fac: '4.0'
check_rr_graph: 'off'
pack_high_fanout_threshold: 'PB-lOGIC:18'
pack_high_fanout_threshold: 'PB-LOGIC:18'
suppress_warnings: >-
${noisyWarnings},sum_pin_class:check_unbuffered_edges:load_rr_indexed_data_T_values:check_rr_node:trans_per_R:check_route:set_rr_graph_tool_comment

Expand Down Expand Up @@ -334,7 +334,7 @@ ql-eos-s3:
bb_factor: '10'
initial_pres_fac: '4.0'
check_rr_graph: 'off'
pack_high_fanout_threshold: 'PB-lOGIC:18'
pack_high_fanout_threshold: 'PB-LOGIC:18'
suppress_warnings: >-
${noisyWarnings},sum_pin_class:check_unbuffered_edges:load_rr_indexed_data_T_values:check_rr_node:trans_per_R:check_route:set_rr_graph_tool_comment
ioplace:
Expand Down Expand Up @@ -451,7 +451,7 @@ ql-eos-s3:
bb_factor: '10'
initial_pres_fac: '4.0'
check_rr_graph: 'off'
pack_high_fanout_threshold: 'PB-lOGIC:18'
pack_high_fanout_threshold: 'PB-LOGIC:18'
suppress_warnings: >-
${noisyWarnings},sum_pin_class:check_unbuffered_edges:load_rr_indexed_data_T_values:check_rr_node:trans_per_R:check_route:set_rr_graph_tool_comment
analysis:
Expand Down Expand Up @@ -491,7 +491,7 @@ ql-eos-s3:
bb_factor: '10'
initial_pres_fac: '4.0'
check_rr_graph: 'off'
pack_high_fanout_threshold: 'PB-lOGIC:18'
pack_high_fanout_threshold: 'PB-LOGIC:18'
suppress_warnings: >-
${noisyWarnings},sum_pin_class:check_unbuffered_edges:load_rr_indexed_data_T_values:check_rr_node:trans_per_R:check_route:set_rr_graph_tool_comment
fasm:
Expand All @@ -512,6 +512,7 @@ ql-eos-s3:
inputs:
'#1': '${:fasm}'
'#2': '${:eblif[noext]}.bit'
'#3': --no-default-bitstream
dev-type: ql-eos-s3
values:
build_dir: .
Expand All @@ -536,7 +537,8 @@ ql-eos-s3:
module: 'generic_script_wrapper'
params:
stage_name: bitstream_jlink
script: symbiflow_write_jlink
interpreter: '${python3}'
script: ['-m', 'quicklogic_fasm.bitstream_to_jlink']
outputs:
bitstream_jlink:
mode: file
Expand All @@ -548,12 +550,13 @@ ql-eos-s3:
inputs:
'#1': '${:bitstream}'
'#2': '${:bitstream}.jlink'
'#3': '${:iomux_jlink}'
$_UNUSED_IOMUX_JLINK: '${:iomux_jlink}'
bitstream_openocd:
module: 'generic_script_wrapper'
params:
stage_name: bitstream_openocd
script: symbiflow_write_openocd
interpreter: '${python3}'
script: ['-m', 'quicklogic_fasm.bitstream_to_openocd']
outputs:
bitstream_openocd:
mode: file
Expand All @@ -565,7 +568,7 @@ ql-eos-s3:
inputs:
'#1': '${:bitstream}'
'#2': '${:bitstream}.openocd'
'#3': '${:iomux_openocd}'
$_UNUSED_IOMUX_OPENOCD: '${:iomux_openocd}'
bitstream_binary:
module: 'generic_script_wrapper'
params:
Expand Down
Loading