Skip to content

Commit

Permalink
chore: update version
Browse files Browse the repository at this point in the history
  • Loading branch information
qarlosalberto committed Jul 17, 2023
1 parent 41625fc commit 82b076a
Show file tree
Hide file tree
Showing 3 changed files with 4 additions and 3 deletions.
2 changes: 1 addition & 1 deletion packages/teroshdl/auto_package/templates/info.nj
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
"displayName": "TerosHDL",
"publisher": "teros-technology",
"description": "Powerful IDE for ASIC/FPGA: state machine viewer, linter, documentation, snippets... and more! ",
"version": "5.0.4",
"version": "5.0.5",
"engines": {
"vscode": "^1.74.0"
},
Expand Down
2 changes: 1 addition & 1 deletion packages/teroshdl/package.json
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
"displayName": "TerosHDL",
"publisher": "teros-technology",
"description": "Powerful IDE for ASIC/FPGA: state machine viewer, linter, documentation, snippets... and more! ",
"version": "5.0.4",
"version": "5.0.5",
"engines": {
"vscode": "^1.74.0"
},
Expand Down
3 changes: 2 additions & 1 deletion packages/teroshdl/resources/release_notes/release-notes.html
Original file line number Diff line number Diff line change
Expand Up @@ -33,13 +33,14 @@
<br>
<br>

<h4 id="release-notes"> Minor changes v5.0.4</h4>
<h4 id="release-notes"> Minor changes v5.0.4 and v5.0.5</h4>
<p>
<ul>
<li> Support for GUI in VUnit</li>
<li> Support for "copy as VHDL component" in Verilog/SV templates</li>
<li> Fix bug parsing Verilog/SV arrays</li>
<li> Fix bug updating VHDL libraries</li>
<li> Fix bug in linter when path has white space</li>
</ul>
</p>

Expand Down

0 comments on commit 82b076a

Please sign in to comment.