Skip to content

Commit

Permalink
chore: release notes
Browse files Browse the repository at this point in the history
  • Loading branch information
qarlosalberto committed Jul 21, 2023
1 parent f4c8f41 commit 1369dd6
Show file tree
Hide file tree
Showing 2 changed files with 5 additions and 3 deletions.
Original file line number Diff line number Diff line change
Expand Up @@ -27,9 +27,9 @@ export const hdl_element_component =
{{ indent[1] }}port (
{% for port_inst in port -%}
{% if loop.last -%}
{{ indent[2] }}{{port_inst['info']['name']}} : {{port_inst['direction']} {{port_inst['type']}}
{{ indent[2] }}{{port_inst['info']['name']}} : {{port_inst['direction']}} {{port_inst['type']}}
{% else -%}
{{ indent[2] }}{{port_inst['info']['name']}} : {{port_inst['direction']} {{port_inst['type']}};
{{ indent[2] }}{{port_inst['info']['name']}} : {{port_inst['direction']}} {{port_inst['type']}};
{% endif -%}
{% endfor -%}
{{ indent[1] }});
Expand Down
4 changes: 3 additions & 1 deletion packages/teroshdl/resources/release_notes/release-notes.html
Original file line number Diff line number Diff line change
Expand Up @@ -33,9 +33,11 @@
<br>
<br>

<h4 id="release-notes"> Minor changes v5.0.4 and v5.0.5</h4>
<h4 id="release-notes"> Minor changes v5.0.5 and v5.0.6</h4>
<p>
<ul>
<li> Improve Python detection</li>
<li> Fix bug VHDL component template</li>
<li> Support for GUI in VUnit</li>
<li> Support for "copy as VHDL component" in Verilog/SV templates</li>
<li> Fix bug parsing Verilog/SV arrays</li>
Expand Down

0 comments on commit 1369dd6

Please sign in to comment.