Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

UFS-dev PR#85 #104

Merged
merged 8 commits into from
Oct 3, 2023
Merged
Show file tree
Hide file tree
Changes from all commits
Commits
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
2 changes: 1 addition & 1 deletion FV3
2 changes: 1 addition & 1 deletion tests/bl_date.conf
Original file line number Diff line number Diff line change
@@ -1,2 +1,2 @@
export BL_DATE=20230623
export BL_DATE=20230705

2 changes: 1 addition & 1 deletion tests/bl_date.ncar.conf
Original file line number Diff line number Diff line change
@@ -1,2 +1,2 @@
export BL_DATE=20230731
export BL_DATE=20230929

21 changes: 21 additions & 0 deletions tests/fv3_conf/compile_qsub.IN_acorn
Original file line number Diff line number Diff line change
@@ -0,0 +1,21 @@
#!/bin/bash

#PBS -o out
#PBS -e err
#PBS -N @[JBNME]
#PBS -A @[ACCNR]
#PBS -q @[QUEUE]
#PBS -l select=1:ncpus=8:mpiprocs=1:mem=32G
#PBS -l walltime=00:45:00

set -eux

cd $PBS_O_WORKDIR

echo -n " $( date +%s )," > job_timestamp.txt
echo "Compile started: " `date`

@[PATHRT]/compile.sh @[MACHINE_ID] "@[MAKE_OPT]" @[COMPILE_NR] @[RT_COMPILER]

echo "Compile ended: " `date`
echo -n " $( date +%s )," >> job_timestamp.txt
36 changes: 36 additions & 0 deletions tests/fv3_conf/fv3_qsub.IN_acorn
Original file line number Diff line number Diff line change
@@ -0,0 +1,36 @@
#!/bin/bash

#PBS -o out
#PBS -e err
#PBS -N @[JBNME]
#PBS -A @[ACCNR]
#PBS -q @[QUEUE]
#PBS -l place=vscatter,select=@[NODES]:ncpus=@[TPN]:mpiprocs=@[TPN]:mem=500G
#PBS -l place=excl
#PBS -l walltime=00:@[WLCLK]:00

set -eux
echo -n " $( date +%s )," > job_timestamp.txt

cd $PBS_O_WORKDIR

set +x
module use $PWD/modulefiles
module load modules.fv3
module load cray-pals
module list
set -x

echo "Model started: " `date`

export OMP_STACKSIZE=512M
export OMP_NUM_THREADS=@[THRD]
export OMP_PLACES=cores
export ESMF_RUNTIME_COMPLIANCECHECK=OFF:depth=4
export ESMF_RUNTIME_PROFILE=ON
export ESMF_RUNTIME_PROFILE_OUTPUT="SUMMARY"

mpiexec -n @[TASKS] -ppn @[TPN] -depth @[THRD] ./fv3.exe

echo "Model ended: " `date`
echo -n " $( date +%s )," >> job_timestamp.txt
2 changes: 1 addition & 1 deletion tests/fv3_conf/fv3_slurm.IN_gaea
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@
#SBATCH -o out
#SBATCH --job-name="@[JBNME]"
#SBATCH --account=@[ACCNR]
#SBATCH --qos=@[QUEUE]
##SBATCH --qos=@[QUEUE]
#SBATCH --clusters=@[PARTITION]
#SBATCH --nodes=@[NODES]
#SBATCH --ntasks-per-node=@[TPN]
Expand Down
3,189 changes: 1,643 additions & 1,546 deletions tests/logs/RegressionTests_hera.log

Large diffs are not rendered by default.

18 changes: 5 additions & 13 deletions tests/opnReqTest
Original file line number Diff line number Diff line change
Expand Up @@ -137,16 +137,13 @@ build_opnReqTests() {
run_opnReqTests() {
JOB_NR=0
for rc in $run_case; do
# load default variables and override as necessary
source default_vars.sh
source ${PATHRT}/tests/$TEST_NAME

# if TEST_NAME specifies WARM_START true, error and exit
source ${PATHRT}/tests/$TEST_NAME
if [[ ${WARM_START} == .T. ]]; then
error "test-name cannot be a restart run (i.e. WARM_START=.T.)"
fi

application=''
if [[ $TEST_NAME =~ regional ]]; then
application=regional
elif [[ $TEST_NAME =~ cpld ]]; then
Expand Down Expand Up @@ -251,6 +248,7 @@ run_opnReqTests() {
export skip_check_results=${skip_check_results}
export delete_rundir=${delete_rundir}
export RT_COMPILER=${RT_COMPILER}
export WLCLK=${WLCLK}
EOF

if [[ $ECFLOW == true ]]; then
Expand Down Expand Up @@ -345,8 +343,6 @@ if [[ $MACHINE_ID = hera ]]; then
STMP=${dprefix}/stmp4
PTMP=${dprefix}/stmp2
SCHEDULER=slurm
cp fv3_conf/fv3_slurm.IN_hera fv3_conf/fv3_slurm.IN
cp fv3_conf/compile_slurm.IN_hera fv3_conf/compile_slurm.IN

elif [[ $MACHINE_ID = orion ]]; then

Expand All @@ -364,8 +360,6 @@ elif [[ $MACHINE_ID = orion ]]; then
STMP=$dprefix/stmp
PTMP=$dprefix/stmp
SCHEDULER=slurm
cp fv3_conf/fv3_slurm.IN_orion fv3_conf/fv3_slurm.IN
cp fv3_conf/compile_slurm.IN_orion fv3_conf/compile_slurm.IN

elif [[ $MACHINE_ID = linux ]]; then

Expand Down Expand Up @@ -468,6 +462,9 @@ if [[ $dbg_compare == true && ! $test_case =~ dbg ]]; then
error "$program: debug reproducibility test requires specifying dbg"
fi

# load default variables and override as necessary
source default_vars.sh

# enumerate which case to compile and run
compile_case=
run_case=
Expand Down Expand Up @@ -547,11 +544,6 @@ if [[ $ECFLOW == true ]]; then
error "ecflow is not supported on this machine $MACHINE_ID"
fi

if [[ $MACHINE_ID == hera ]] && [[ ! $HOSTNAME == hecflow* ]]; then
echo "ERROR: To use ECFlow on Hera please use the 'hecflow01' login node: ssh hecflow01."
exit 1
fi

fi

opnreqtest_log=${PATHRT}/logs/OpnReqTests_${TEST_NAME}_$MACHINE_ID.log
Expand Down
2 changes: 2 additions & 0 deletions tests/parm/fd_nems.yaml
Original file line number Diff line number Diff line change
Expand Up @@ -763,6 +763,8 @@
canonical_units: N m-2
- standard_name: inst_laten_heat_flx
canonical_units: N m-2
- standard_name: inst_evap_rate
canonical_units: kg m-2 s-1
- standard_name: inst_tracer_mass_frac
canonical_units: 1
- standard_name: inst_tracer_up_surface_flx
Expand Down
1 change: 1 addition & 0 deletions tests/parm/model_configure_rrfs_conus13km.IN
Original file line number Diff line number Diff line change
Expand Up @@ -12,6 +12,7 @@ restart_interval: @[RESTART_INTERVAL]
output_1st_tstep_rst: .false.

quilting: @[QUILTING]
quilting_restart: @[QUILTING_RESTART]
write_groups: @[WRITE_GROUP]
write_tasks_per_group: @[WRTTASK_PER_GROUP]
output_history: @[OUTPUT_HISTORY]
Expand Down
Loading