Skip to content
/ iverilog-test-bench Public template

☀️ Icarus Verilog Test-bench Template

License

Notifications You must be signed in to change notification settings

Fuwn/iverilog-test-bench

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

1 Commit
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Icarus Verilog Test-bench

Dependencies

Usage

make # Build all

make test # Build all and run test-bench

# Build all, run test-bench, and open test waveform in GTKWave
make test && gtkwave ./wave.vcd

make clean # Clean all build artifacts