Skip to content

Vivado project implemented on SystemVerilog for Basys 3

Notifications You must be signed in to change notification settings

yalchinAlv/Ultrasonic

Repository files navigation

Ultrasonic

Contributions

  • by Shamil Ibrahimov

Description

This is a Vivado project implemented on SystemVerilog for Basys 3. It measures the distance to the nearest object using a ultrasonic sensor and adjusts the speed of the motor depending on that distance. If the distance is large it increases the speed and vice verse.

Equipments

  • Basys3 Artix-7 FPGA (XC7A35T-1CPG236C)
  • PING))) Ultrasonic Distance Sensor (#28015)
  • Step motor

Datasheets