Skip to content

Commit

Permalink
Merge pull request #720 from kyonmiriam/main
Browse files Browse the repository at this point in the history
Update Tang Mega 60K wiki, fixed some issues of 138K & 138K Pro
  • Loading branch information
Zepan authored Sep 26, 2024
2 parents 696092d + b5c1e7a commit 90c24e0
Show file tree
Hide file tree
Showing 25 changed files with 481 additions and 204 deletions.
Binary file added docs/hardware/en/tang/assets/FTDI_DEVICE.png
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file added docs/hardware/en/tang/assets/flash_mode_GAO.png
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
23 changes: 23 additions & 0 deletions docs/hardware/en/tang/tang-mega-138k/mega-138k-pro.md
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,11 @@ update:
author: wonder
content:
- 新建文档
- date: 2024-09-26
version: v0.2
author: Serika
content:
- Update FAQs
---

- Product Overview
Expand Down Expand Up @@ -253,6 +258,10 @@ Example code [github](https://github.com/sipeed/TangMega-138KPro-example)
<td>Avoid Short Circuit</td>
<td>Please avoid any liquid or metal touching the solder pads of the components on the PCBA during the power-on process, otherwise it may cause a short circuit and burn the PCBA.</td>
</tr>
<tr>
<td>Protecting the die</td>
<td>Please avoid any impact on the exposed chip die during the process of removing and installing the heat sink. Do not press the heat sink hard after installing it. Otherwise, the chip die will be damaged.</td>
</tr>
</table>


Expand All @@ -267,5 +276,19 @@ Tang Mega 138K can meet different needs of customers in various scenarios. For t
1. Please check if the power switch of the board is turned on.
2. Check your power supply method.

### How to burn the bitstream to FLASH {#burn_flash}

1. Setting the **Programmer** as shown in the figure below:

<img src="./assets/flash_mode.png" alt="flash_mode" width=35%>

### No Response or Undesirable Pin Phenomenon After Burning

1. First, ensure that the IDE has selected the correct model **GW5AST-LV138FPG676AC1/10**; every parameter in the figure below **MUST** be consistent.

<img src="./assets/partno_138K_Pro.png" alt="device_choose" width=35%>

2. Then, check your code and the corresponding simulation waveforms to meet the requirements. The GAO tools in GOWIN IDE maybe helpful. For more information, please refer to the GOWIN document [SUG100](https://www.gowinsemi.com/upload/database_doc/1885/document/660bb2366d0b3.pdf)(require login).


### For more questions and solutions, go to [Related Questions](./../Tang-Nano-Doc/questions.md) to view
26 changes: 18 additions & 8 deletions docs/hardware/en/tang/tang-mega-138k/mega-138k.md
Original file line number Diff line number Diff line change
Expand Up @@ -2,16 +2,21 @@
title: Tang Mega 138K Dock
keywords: FPGA, Tang, Mega, 138K
update:
- date: 06-26-2024
- date: 2024-06-26
version: v0.1
author: Serika
content:
- Create document
- date: 2024-09-26
version: v0.2
author: Serika
content:
- Corrected description of PCIe bus widths
---

## Overview

Tang Mega 138K uses a 22nm process **GW5AST-LV138PG484A** FPGA chip, which has 138,240 lookup table units and nearly 300 DSP units. It contains eight high-speed transceivers with a speed range of 270Mbps ~ 6.6Gbps, suitable for transmitting data through high-speed ports such as PCIe. In addition, the chip contains a hard-core PCIe, which consumes better resources when using PCIe and achieves better performance. It is suitable for high-speed communication, protocol conversion, high-performance computing, and other occasions.
Tang Mega 138K uses a 22nm process **GW5AST-LV138PG484A** FPGA chip, which has 138,240 lookup table units and nearly 300 DSP units. It contains eight high-speed transceivers with a speed range of 270Mbps ~ 8.0Gbps, suitable for transmitting data through high-speed ports such as PCIe. In addition, the chip contains a hard-core PCIe, which consumes better resources when using PCIe and achieves better performance. It is suitable for high-speed communication, protocol conversion, high-performance computing, and other occasions.

Compared to the 138K Pro Dock, the 138K Dock has a smaller size and a lower price, and it replaces the SFP transceiver with USB3 SS(5Gbps). This not only effectively reduces the cost of high-speed communication but also brings better versatility.

Expand All @@ -22,8 +27,11 @@ update:
- Large capacity LUT4
- Large capacity memory
- PCIe 2.0 x 4
- USB3.0 x 1
- RISCV hard core
- USB3.0 x 1(5Gbps)
- RISC-V hard core (AE350 @800MHz)
- HDMI TX/RX x 1
- Gigabit Ethernet x 1
- Onboard 3.7V li-on battery(1-Series) charge/discharge management

## Product Appearance

Expand Down Expand Up @@ -94,19 +102,19 @@ TBD
</tr>
<tr>
<td>Transceivers Rate</td>
<td>270Mbps-6.6Gbps</td>
<td>270Mbps-8.0Gbps</td>
</tr>
<tr>
<td>PCIe HardCore</td>
<td>x1<br>Speed optional x1, x2, x4, x8 PCIe 2.0</td>
<td>x1<br>Speed optional x1, x2, x4 PCIe 3.0</td>
</tr>
<tr>
<td>LVDS (Gbps)</td>
<td>1.25</td>
</tr>
<tr>
<td>DDR3 (Mbps)</td>
<td>1333</td>
<td>800</td>
</tr>
<td>Hard Core SoC</td>
<td>RiscV AE350_SOC</td>
Expand Down Expand Up @@ -181,10 +189,12 @@ TBD
- [Dimension Diagram](https://dl.sipeed.com/shareURL/TANG/Mega_138K/04_Mechanical_drawing)
- [3D Model](https://dl.sipeed.com/shareURL/TANG/Mega_138K/05_3D_file)
- [Some Chip Manuals](https://dl.sipeed.com/shareURL/TANG/Mega_138K/07_Datasheet)
- [All PIN Constraints](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/08_Misc)

## Getting Started

Note that 138K is currently not supported by the education version, and you need to download V1.9.9 or a newer version of the commercial IDE for use.
There are serious problems with the Programmer version V1.9.10.02, and this product cannot be programmed.
Lic can be applied on the Gowin official website, or you can use the online Lic service provided by Sipeed. In the IDE, select Float Lic and fill in the following information:

~~~
Expand Down Expand Up @@ -287,7 +297,7 @@ Tang Mega 138K can meet different needs of customers in various scenarios. For t

1. Setting the **Programmer** as shown in the figure below:

<img src="./assets/flash_mode.png" alt="flash_mode" width=35%>
<img src="./../assets/flash_mode_GAO.png" alt="flash_mode" width=35%>

2. Check the position of the DIP switch; the correct position is shown in the figure below:

Expand Down
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file not shown.
120 changes: 100 additions & 20 deletions docs/hardware/en/tang/tang-mega-60k/mega-60k.md
Original file line number Diff line number Diff line change
Expand Up @@ -2,32 +2,43 @@
title: Tang Mega 60K Dock
keywords: FPGA, Tang, Mega, 60K
update:
- date: 06-26-2024
- date: 2024-06-26
version: v0.1
author: Serika
content:
- Create document
- date: 2024-09-26
version: v0.2
author: Serika
content:
- Corrected description of PCIe bus widths
- Update content TBD before
---

## Overview

Date to Release: July xx, 2024
TBD
**Tang Mega 60K** uses the 22nm process **GW5AT-LV60P484A** FPGA chip with 59904 lookup table units and 118 DSP units. It contains four high-speed transceivers with speeds ranging from 270Mbps to 6.6Gbps, which is suitable for transmitting data on high-speed ports such as PCIe. In addition, the chip contains hard-core PCIe and MIPI C/D PHY controllers, which consume better resources and get better performance when using PCIe. It is suitable for high-speed communication, protocol conversion, high-performance computing and other occasions.

The 60K Dock and 138K Dock share a set of dockboards(TANG MEGA NEO), so the peripherals of the two are exactly the same. Compared with the 138K Dock, the 60K Dock has fewer logic resources and a lower price, and includes a MIPI C/D PHY transceiver. This not only further reduces the cost of high-speed communication, but also brings better compatibility for image processing system integration.

- Date to Release: October 10th, 2024

aliexpress purchase link: [Click me](https://sipeed.aliexpress.com/store/1101739727)
- aliexpress purchase link: [Click me](https://www.aliexpress.com/item/3256807078990410.html)

## Board Features

- Medium capacity LUT4
- Medium capacity memory
- 512MiB DDR3 memory
- PCIe 2.0 x 4
- USB3.0 x 1
- USB3.0 x 1(5Gbps)
- MIPI C-PHY & D-PHY RX/TX
- TBD
- HDMI TX/RX x 1
- Gigabit Ethernet x 1
- Onboard 3.7V Li-on battery(1-Series) charge/discharge management

## Product Appearance

TBD
<img src="./assets/mega_60k_top.png" width="45%">

## Block Diagram

Expand Down Expand Up @@ -98,7 +109,7 @@ TBD
</tr>
<tr>
<td>PCIe HardCore</td>
<td>x1<br>Speed optional x1, x2, x4, x8 PCIe 2.0</td>
<td>x1<br>Speed optional x1, x2, x4 PCIe 2.0</td>
</tr>
<tr>
<td>LVDS (Gbps)</td>
Expand Down Expand Up @@ -152,20 +163,47 @@ TBD

### Dock board Parameters

TBD
| Item | Quantity | Remarks |
| :------------------ | ---- | ------------------------------------------------- |
| LEDs | 4+8 | 4x Battery-Indicator+ 8x PMOD_LED |
| WS2812 | 1 | The WS2812 & aRGB strip CONN. share the same pin |
| Buttons | 3+1 | 3x User-KEY + 1x Reconfig-KEY |
| PCIe | 1 | 4-lane @ 5Gbps,CH569 16bit HSPI |
| USB3 | 2 | SuperSpeed @ 5Gbps |
| GbE | 1 | 1000Mbps Ethernet |
| DVI | 1 | DVI supports both RX and TX |
| PMOD | 2 | Multiplexed with the the DVP CONN. & 2x20P header at the top of the Dock board |
| ADC | 2 | 2x differential input channels |
| WS2812 | 1 | The aRGB strip CONN. & WS2812 share the same pin |
| DVP Interface | 1 | Multiplexed with the the PMOD & 2x20P header at the top of the Dock board |
| RGB Interface | 1 | Supports RGB888 screen |
| MIC ARRAY Interface | 1 | Supports Sipeed 6+1 microphone array |
| SD Slot | 1 | 1-bit SDIO/MMC or SPI mode |
| BATT CONN. | 1 | Supports 3.7V li-on battery, with built-in charge management |
| PWM FAN CONN. | 1 | Supports PWM fan with TACHO |
| Speaker CONN. | 2 | Support stereo output, 2x 3W Speaker |
| 3.5mm Headphone CONN.| 1 | Supports stereo output, without Mic |
| MS5351 | 1 | Provides RefClk for Serdes; control output via onboard UART |
| USB JTAG & UART | 1 | Supports FPGA programming and provides UART function |
| 2x20P headers | 2 | 2x20P header at the top of the Dock board multiplexed with the the PMOD & DVP CONN. |
| Power button | 1 | **Press and hold for 2 seconds to toggle power state** |
| 12V DC | 1 | DC5521 |


## Hardware Resources

- [Specification](https://dl.sipeed.com/shareURL/TANG/Mega_60K/01_Specification)
- [Schematics](https://dl.sipeed.com/shareURL/TANG/Mega_60K/02_Schematic)
- [PCB BOM](https://dl.sipeed.com/shareURL/TANG/Mega_60K/03_Designator_drawing)
- [Dimension Diagram](https://dl.sipeed.com/shareURL/TANG/Mega_60K/04_Mechanical_drawing)
- [3D Model](https://dl.sipeed.com/shareURL/TANG/Mega_60K/05_3D_file)
- [Some Chip Manuals](https://dl.sipeed.com/shareURL/TANG/Mega_60K/07_Datasheet)
- [Specification](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/01_Specification)
- [Schematics](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/02_Schematic)
- [PCB BOM](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/03_Designator_drawing)
- [Dimension Diagram](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/04_Mechanical_drawing)
- [3D Model](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/05_3D_file)
- [Some Chip Manuals](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/07_Datasheet)
- [All PIN Constraints](https://dl.sipeed.com/shareURL/TANG/Mega_138K_60K/08_Misc)

## Getting Started

Note that 138K is currently not supported by the education version, and you need to download V1.9.9 or a newer version of the commercial IDE for use.
Note that 60K is currently not supported by the education version, and you need to download V1.9.10.01 or a newer version of the commercial IDE for use.
There are serious problems with the Programmer version V1.9.10.02, and this product cannot be programmed.
Lic can be applied on the Gowin official website, or you can use the online Lic service provided by Sipeed. In the IDE, select Float Lic and fill in the following information:

~~~
Expand Down Expand Up @@ -205,8 +243,8 @@ Example code [github](https://github.com/sipeed/TangMega-60K-example)
<th>Precautions</th>
</tr>
<tr>
<td>芯片型号</td>
<td>The specific model of the FPGA chip used by Tang Mega 138K is<b>GW5AT-LV60PG484A</b> <br> <br>Please select the package model <b>PBG484A</b> in the IDE.</td>
<td>Chip Model</td>
<td>The specific model of the FPGA chip used by Tang Mega 60K is <b>GW5AT-LV60PG484A</b> <br> <br>Please select the package model <b>PBG484A</b> in the IDE.</td>
</tr>
<tr>
<td>Static Electricity</td>
Expand Down Expand Up @@ -242,4 +280,46 @@ Tang Mega 60K can meet different needs of customers in various scenarios. For te

## Frequently Asked Questions (FAQs)

TBD
### After powering on the board, only four indicator lights on the dockboard are on, the SOM indicator light is not on

1. Please check if the board’s power has been turned on, press and hold the PWR button (next to the HDMI port) for 2 seconds to turn on the power.

### After powering on the board, the Battery-Indicator light on the dcokboard is flashing

1. This is normal behavior, usually, the last LED (near the 12V DC connector) is flashing;
2. When the board is connected to a 3.7V lithium battery, these LEDs will serve as battery level indicators.

### After pressing and holding the PWR button for 2 seconds, all the indicator lights on the dockboard turn off and then light up in sequence

1. Check your power supply method, this situation means that the power supply is insufficient;
2. Solutions (choose one):
a. Connect both the board’s **USB-3.0** and **USB-DEBUG** for power supply, i.e., dual 5V USB power supply;
b. Connect a 12V DC power supply to the board, if using the USB-C to 12V DC connector from the accessories, a PD power source with 12V output capability is required;
c. Connect a 3.7V lithium battery to power the board, note that the battery voltage must be ≥3.6V and the continuous discharge capacity must be ≥600mA.

### IDE cannot find the model GW5AT-LV60PG484A

1. The educational version does not support 60K, please switch to the commercial version. The following image shows the educational version (which does not support 60K);
<img src="../assets/questions/no_model_in_IDE.png" width="35%">

2. IDE version is too low to use, update the IDE version ≥ **1.9.9**.

### How to burn the bitstream to FLASH {#burn_flash}

1. Setting the **Programmer** as shown in the figure below:

<img src="./../assets/flash_mode_GAO.png" alt="flash_mode" width=35%>

2. Check the position of the DIP switch; the correct position is shown in the figure below:

<img src="./assets/dip-key_defualt.png" alt="dip-key_defualt" width=35%>

### No Response or Undesirable Pin Phenomenon After Burning

1. First, ensure that the IDE has selected the correct model **GW5AT-LV60PG484AC1/10**; every parameter in the figure below **MUST** be consistent.

<img src="./assets/partno_60K.png" alt="device_choose" width=35%>

2. Then, check your code and the corresponding simulation waveforms to meet the requirements. The GAO tools in GOWIN IDE maybe helpful. For more information, please refer to the GOWIN document [SUG100](https://www.gowinsemi.com/upload/database_doc/1885/document/660bb2366d0b3.pdf)(require login).

### For more questions and solutions, go to [Related Questions](./../Tang-Nano-Doc/questions.md) to view
Binary file added docs/hardware/zh/tang/assets/FTDI_DEVICE.png
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
Binary file added docs/hardware/zh/tang/assets/flash_mode_GAO.png
Loading
Sorry, something went wrong. Reload?
Sorry, we cannot display this file.
Sorry, this file is invalid so it cannot be displayed.
28 changes: 25 additions & 3 deletions docs/hardware/zh/tang/tang-mega-138k/mega-138k-pro.md
Original file line number Diff line number Diff line change
Expand Up @@ -7,6 +7,11 @@ update:
author: wonder
content:
- 新建文档
- date: 2024-09-26
version: v0.91
author: Serika
content:
- 更新FAQs
---

## 产品概述
Expand Down Expand Up @@ -184,9 +189,12 @@ update:
[板卡尺寸图](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/04_Mechanical_drawing)
[板卡 3D 模型](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/05_3D_file)
[部分芯片手册](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/07_Datasheet)
[内部走线长度](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/08_Pinout_Length_table)
[全引脚约束](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/09_Misc)

## 上手使用
注意138K目前未被教育版支持,需要下载 V1.9.9 或更新版本的商业版IDE使用。
注意138K Pro目前未被教育版支持,需要下载 V1.9.9 或更新版本的商业版IDE使用。
V1.9.10.02版本的Programmer存在严重问题,无法正常下载本产品。
Lic 可以在高云官网申请,或者使用Sipeed提供的在线Lic服务,在IDE中选择Float Lic,填写以下信息即可:
~~~
ip: 106.55.34.119
Expand Down Expand Up @@ -249,6 +257,10 @@ port: 10559
<td>避免短路</td>
<td>请在上电过程中,避免任何液体和金属触碰到 PCBA 上的元件的焊盘,否则会导致路,烧毁 PCBA</td>
</tr>
<tr>
<td>保护晶圆</td>
<td>请在拆装散热片的过程中,避免裸露的晶圆收到任何冲击,在安装好散热片后请勿用力按压散热片。否则将导致晶圆损坏</td>
</tr>
</table>

## 联系
Expand All @@ -257,16 +269,26 @@ Tang Mega 138K 可以在多种场景实现客户不同方面的需要,技术

## 常见问题

### 板子通电后电源灯没亮
### 板子通电后电源指示灯没亮

1. 请检查是否开启了板子的电源开关。
2. 检查自己的供电方式。

### 板子电源指示灯亮了,Programmer提示No USB Cable Connection

1. 请检查USB线是否正确接入标记为**JTAG|UART**的USB-C连接器。
2. 尽量避免使用机箱前面板的USB连接器和没有独立供电的USB HUB。
3. 检查自己是否正确安装FT2232的驱动:出现USB Serial Converter A/B。

<img src="./../assets/FTDI_DEVICE.png" alt="flash_mode" width=35%>

4. 通常情况下Windows会在联网后自动安装相应驱动。如果想要手动处理,请前往[相关问题](./../Tang-Nano-Doc/questions.md)查看相关内容。

### 如何下载到外部 FLASH {#burn_flash}

进行如下选项设置:

<img src="./assets/flash_mode.png" alt="flash_mode" width=35%>
<img src="./../assets/flash_mode_GAO.png" alt="flash_mode" width=35%>

### 烧录后没反应或者引脚现象不对

Expand Down
Loading

0 comments on commit 90c24e0

Please sign in to comment.