Skip to content
Change the repository type filter

All

    Repositories list

    • Github pages for https://open-verify.cc
      HTML
      0000Updated Oct 15, 2024Oct 15, 2024
    • course

      Public
      Open Verification Platform Teaching Course, aimed at enabling students to master the basic skills of participating in open hardware verification, implemented based on the Docsy theme.
      HTML
      Apache License 2.0
      1201Updated Oct 15, 2024Oct 15, 2024
    • 开放验证平台NutShell Cache验证案例
      Verilog
      0300Updated Oct 14, 2024Oct 14, 2024
    • Crowdsourced Verification Project (UnityChip Verification) for the Xiangshan Processor
      Python
      Mulan Permissive Software License, Version 2
      0320Updated Oct 9, 2024Oct 9, 2024
    • picker

      Public
      Pick your favorite language to verify your chip.
      C++
      Mulan Permissive Software License, Version 2
      12911Updated Oct 8, 2024Oct 8, 2024
    • xcomm

      Public
      xspcomm encapsulates the DPI-based digital circuit and provides various high-level language operation interfaces.
      C++
      Mulan Permissive Software License, Version 2
      0600Updated Oct 4, 2024Oct 4, 2024
    • Documentation for XiangShan
      TeX
      Creative Commons Attribution 4.0 International
      133000Updated Oct 1, 2024Oct 1, 2024
    • OpenXiangShan RTL exported from chisel
      MIT License
      0000Updated Sep 27, 2024Sep 27, 2024
    • mlvp

      Public
      Python based hardware verification framework
      Python
      Mulan Permissive Software License, Version 2
      0810Updated Sep 27, 2024Sep 27, 2024
    • 香山微架构开放验证第一期:昆明湖BPU模块UT测试模块及环境
      SystemVerilog
      Mulan Permissive Software License, Version 2
      41900Updated Sep 14, 2024Sep 14, 2024
    • Nutshell cache verification with MLVP tools
      MIT License
      0000Updated May 22, 2024May 22, 2024