Skip to content

Commit

Permalink
Fix non-standard usage of SystemVerilog (#2336)
Browse files Browse the repository at this point in the history
Strings cannot be initially assigned to an integer without a cast.
  • Loading branch information
Moschn committed Jul 9, 2024
1 parent 7c351b3 commit b6a3aa1
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion corev_apu/tb/ariane_testharness.sv
Original file line number Diff line number Diff line change
Expand Up @@ -749,7 +749,7 @@ module ariane_testharness #(

`ifdef VERILATOR
initial begin
string verbosity = 0;
string verbosity;
if ($value$plusargs("UVM_VERBOSITY=%s",verbosity)) begin
uvm_set_verbosity_level(verbosity);
`uvm_info("ariane_testharness", $sformatf("Set UVM_VERBOSITY to %s", verbosity), UVM_NONE)
Expand Down

0 comments on commit b6a3aa1

Please sign in to comment.