We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.
By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.
Already on GitHub? Sign in to your account
Not sure what the underlying issue is but this is as far broken down as I could:
entity A is port (din : BIT_VECTOR(4 downto 0)); end A; architecture arch of A is begin end architecture; package pkg_B is function init return natural; end pkg_B; package body pkg_B is function init return natural is begin return 1; end init; end pkg_B; use work.pkg_B.init; package pkg_C is type bar is record field : BIT_VECTOR(init downto 0); end record; end package pkg_C; use work.pkg_C.bar; entity B is end entity B; architecture rtl of B is signal foo : bar; function bar_to_bitvector(bar_obj: bar) return BIT_VECTOR is begin return (4 downto 0 => '0'); end; begin test_inst: entity work.A port map(din => bar_to_bitvector(foo)); end architecture;
The text was updated successfully, but these errors were encountered:
Fix crash with array-of-record in conversion function. Issue #1094
1255460
I've fixed the crash but found another bug which will prevent this from working properly.
Sorry, something went wrong.
No branches or pull requests
Not sure what the underlying issue is but this is as far broken down as I could:
The text was updated successfully, but these errors were encountered: