Skip to content

myriadrf/LimeSDR-PCIe_GW

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

LimeSDR-PCIe FPGA gateware

This repository contains the FPGA gateware project for the PCIe LimeSDR board.

The gateware can be built with the free version of the Altera Quartus tools.

Branches

This repository contains the following hardware-specific branches:

  • master:

    • Gateware for Hardware Revision 1v3
  • HW_v1.0:

    • Gateware for Hardware Revision 1v0
  • HW_v1.2:

    • Gateware for Hardware Revision 1v2

Licensing

Please see the COPYING file(s). However, please note that the license terms stated do not extend to any files provided with the Altera design tools and see the relevant files for the associated terms and conditions.

About

Altera Cyclone IV FPGA project for the PCIe LimeSDR board

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published