Skip to content

Actions: iuliana-prodan/sof

Main Actions

Actions

Loading...
Loading

Show workflow options

Create status badge

Loading
28 workflow runs
28 workflow runs

Filter by Event

Filter by Status

Filter by Branch

Filter by Actor

Arch: host: Cleanup mixer vs. mixin/mixout component build
Main Actions #97: Commit 95373db pushed by iuliana-prodan
October 9, 2024 09:18 4m 26s main
October 9, 2024 09:18 4m 26s
tools: mtrace-reader.py: Add option to mark chunk starts in output
Main Actions #96: Commit 6949112 pushed by iuliana-prodan
October 2, 2024 08:25 4m 29s main
October 2, 2024 08:25 4m 29s
ipc3: move pointer assignments to after validation
Main Actions #95: Commit 54bb3e4 pushed by iuliana-prodan
September 5, 2024 17:00 4m 26s main
September 5, 2024 17:00 4m 26s
app/stub_build_all_ipc3/4.conf: enable CONFIG_CADENCE_CODEC
Main Actions #94: Commit a544eb3 pushed by iuliana-prodan
July 19, 2024 12:10 4m 19s main
July 19, 2024 12:10 4m 19s
Tools: Topology1: Change name of default DRC test blob
Main Actions #93: Commit b6f1bba pushed by iuliana-prodan
May 23, 2024 08:37 4m 7s main
May 23, 2024 08:37 4m 7s
west.yml: update Zephyr to 9d8059b6e5541
Main Actions #92: Commit 283475c pushed by iuliana-prodan
May 22, 2024 12:42 4m 20s main
May 22, 2024 12:42 4m 20s
Audio: SRC: Use valid_bit_depth to select processing function
Main Actions #91: Commit 374d2d6 pushed by iuliana-prodan
May 9, 2024 15:31 4m 7s main
May 9, 2024 15:31 4m 7s
copier: Use correct multiplier for latency to bytes calculation
Main Actions #90: Commit 41bdc0c pushed by iuliana-prodan
March 27, 2024 01:27 4m 16s main
March 27, 2024 01:27 4m 16s
Audio: Component: Add HiFi5 implementation of cir_buf_copy.
Main Actions #89: Commit 02e8837 pushed by iuliana-prodan
March 8, 2024 16:21 4m 11s main
March 8, 2024 16:21 4m 11s
west.yml: update Zephyr to 9d1df132b1c + one revert
Main Actions #88: Commit 7507b32 pushed by iuliana-prodan
March 7, 2024 17:21 4m 4s main
March 7, 2024 17:21 4m 4s
Audio: Mixin_mixout: Add HiFi5 implementation.
Main Actions #87: Commit 0b3b574 pushed by iuliana-prodan
February 28, 2024 08:12 4m 2s main
February 28, 2024 08:12 4m 2s
topology1: imx8ulp: overwrite CHANNELS_MIN
Main Actions #86: Commit a6700af pushed by iuliana-prodan
February 13, 2024 11:20 3m 58s main
February 13, 2024 11:20 3m 58s
app/prj.conf: stop forcing CONFIG_OUTPUT_DISASSEMBLY=y
Main Actions #85: Commit dd8b932 pushed by iuliana-prodan
February 5, 2024 15:56 4m 0s main
February 5, 2024 15:56 4m 0s
topology2: google-rtc-aec: Add Byte control
Main Actions #84: Commit cdd1c91 pushed by iuliana-prodan
December 8, 2023 15:49 4m 25s main
December 8, 2023 15:49 4m 25s
DP: bugix - lists initialization was done too late
Main Actions #83: Commit e1cd2d2 pushed by iuliana-prodan
November 22, 2023 00:48 4m 17s main
November 22, 2023 00:48 4m 17s
app: boards: Add imx8ulp conf to support imx8ulp config
Main Actions #82: Commit f8a9591 pushed by iuliana-prodan
November 7, 2023 14:04 4m 8s main
November 7, 2023 14:04 4m 8s
topology2: Add sof-lnl-rt711-l0-rt1316-l23-rt714-l1 support
Main Actions #81: Commit 165e68f pushed by iuliana-prodan
October 31, 2023 11:34 7m 13s main
October 31, 2023 11:34 7m 13s
audio: eq_iir: create generic/ipc3/ipc4 source files for eq_iir
Main Actions #80: Commit 9e0f7ee pushed by iuliana-prodan
October 20, 2023 14:10 5m 46s main
October 20, 2023 14:10 5m 46s
topology2: host-gateway-playback: Replace audio_format objects
Main Actions #79: Commit 054003f pushed by iuliana-prodan
October 19, 2023 11:38 6m 14s main
October 19, 2023 11:38 6m 14s
drivers: imx: Select DONE bit from software
Main Actions #78: Commit 8819667 pushed by iuliana-prodan
October 16, 2023 12:36 7m 15s main
October 16, 2023 12:36 7m 15s
Revert "module_adapter: avoid module init crash in case of ipc data i…
Main Actions #77: Commit bb8d6ba pushed by iuliana-prodan
October 13, 2023 08:44 5m 50s main
October 13, 2023 08:44 5m 50s
Tools: Topology2: Fix the gain.conf curve_type values
Main Actions #76: Commit 51159d8 pushed by iuliana-prodan
October 4, 2023 13:40 7m 22s main
October 4, 2023 13:40 7m 22s
smart_amp: revamp to two-layer modular design structure
Main Actions #75: Commit 9ca86c9 pushed by iuliana-prodan
September 26, 2023 13:17 5m 49s main
September 26, 2023 13:17 5m 49s
imx: sai: Fix sof run fail issue on imx8ulp
Main Actions #74: Commit 036da2a pushed by iuliana-prodan
September 15, 2023 13:17 6m 5s main
September 15, 2023 13:17 6m 5s
TigerLake: disable memory windows setting on sof side
Main Actions #73: Commit 108df32 pushed by iuliana-prodan
August 16, 2023 16:10 6m 1s main
August 16, 2023 16:10 6m 1s