Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Adding timestamping to PlutoSDR firmware #79

Open
Radiomix2000 opened this issue Jan 12, 2023 · 1 comment
Open

Adding timestamping to PlutoSDR firmware #79

Radiomix2000 opened this issue Jan 12, 2023 · 1 comment

Comments

@Radiomix2000
Copy link

Do you plan to add timestamping support to plutoSDR FW?

@LunaWuna
Copy link

srsRAN has already made a solution over at https://github.com/srsran/zynq_timestamping

mhennerich added a commit that referenced this issue Mar 1, 2023
 * Linux, HDL: Switch to 2021_R2 branches
 * Buildroot update to master, which previously merged master-next

Submodule buildroot 6d681cb..0081bbc:
  > Merge pull request #79 from analogdevicesinc/master-next
  > board/pluto: Add support for Android USB Tethering
  > configs: zynq_[pluto|m2k]_defconfig: Switch to buildroot toolchain

Submodule hdl d09fc92...e5660db:
  > fmcadc5: Fix issue created by modifying ad_disconnect
  > projects/adrv9009zu11eg: Fix lane swap on tx1_c when used with fmcomms8
  > scripts: adi_board: Update ad_disconnect procedure
  > ad9081: vck190: Update default profile
  > projects: Fix intermitent timing violation on a10soc
  > Remove extra FIELD marker in regmap
  > regmap/adi_regmap_common.txt: Add missing RD_RAW_DATA field
  > docs/regmap: Added the new ADDRESS_HIGH registers to the DMAC regmap
  > axi_dmac: Add suport for 64 bit address width New improvements for the ADI DMAC IP: 1)The capability to manually overwrite the DMA_AXI_ADDR_WIDTH(from GUI or from tcl) 2)DMA_AXI_ADDR_WIDTH attribute is now visible in the Vivado GUI: -"Auto mode": Automatically calculated by the core tcl files based on the existing attached address segments. -"Manual mode": Specify the desired dma_width between 32-64 bits. 3)Added two new debug registers that return higher part of the current source/destination address.
  > ad9081_fmca_ebz_x_band:zcu102: X band project initial version
  > ad9083 based projects: Expose JESD parameters
  > ad9083_vna: Init commit
  > up_adc_common: Update IPs and adi_regmap_adc definition file to latest up_adc_common module
  > up_adc_common: Add register data reading/writing functionality
  > up_adc_channel: Update IPs and adi_regmap_adc definition file to latest up_adc_channel module
  > common/up_adc_channel: Add raw data reading functionality
  > projects/common: Add build files templates carriers. Modified Quartus Versions
  > cn0506: Update project's directory name in the README file
  > adrv9009zu11eg/common/adrv9009zu11eg_bd: Add logic for TX_JESD_L=4
  > adrv9009/common/adrv9009_bd: Add logic for TX_JESD_L=2
  > projects/fmcomms8: Expose jesd params, add support for TX_JESD_L=4
  > projects/cn0501: Updated with axi_ad7768 IP for Coraz7s
  > The memory interconnect was moved from HP0 to HP1 on Coraz7s projects (#1023)
  > ad719x_asdz/coraz7s: Initial commit
  > run_tb.sh:don't run xsim if previous commands fail
  > library/jesd204/ad_ip_jesd204_tpl_adc: Added support for PN7 and PN15 (#1019)
  > ad4630_fmc: Match project name with folder name
  > ad9783_ebz: Match project name with folder name
  > projects/adrv9009zu11eg: expose jesd params to make and add FMCOMMS8 parameter
  > library/scripts/library.mk: clean files form tb
  > coraz7s: Memory interconnect fix (#1014)
  > docs/regmap: Added ADI regmap_*.txt files (#1008)
  > axi_ltc2387: Update up_adc_common and up_adc_channel instances
  > axi_ltc2387: Make adc_valid to represent the current sample
  > cn0577/zed: Update xdc to diff_term true. Disable csn in system_top
  > projects/common: Add system_top _project templates
  > docs: Add common template for evaluation board specific fmc files
  > projects/scripts: Add fmc constraints generator script
  > projects: Add fmc connection files for eval boards
  > projects/common: Add fmc connection files for every platform
  > projects: starndadize the jesd make parameters
  > scripts/check_readme: Change search to be case insensitive
  > common/tb/ad_pack_tb: Add non random scenario as first test for easier debug
  > ad9082_fmca_ebz/zcu102: Make TPL width overwritable
  > axi_jesd204: Cleanup unused parameter
  > axi_jesd204_rx/jesd204_up_rx: Set buffer delay in beats of device clock
  > ad9081_fmca_ebz: Make TPL width overwritable
  > library/jesd204: Add support for a gearbox ratio in which the TPL width is smaller than the PHY interface
  > library/jesd204: Update the script which computes the TPL width to be able to assign custom values
  > ad9081_fmca_ebz/common: Use the script to compute the TPL width
  > adi_pd.tcl: Fix sysid branch string
  > projects: Fix some Makefiles
  > scripts:adi_env: Change the default version of Quartus Standard to 21.1 (#996)
  > Added axi_ad7768 IP Core (#989)
  > library/scripts/adi_ip_xilinx.tcl: remove duplicate adi_env.tcl source
  > scripts:adi_env: Change the default version of Quartus Pro to 21.4 (#988)
  > scripts: Set required Vivado version only in adi_env.tcl
  > scripts: Update Vivado version to 2021.2
  > library/common: Enable automatic logging of simulation output
  > library/common: Update the packing IPs to be more generic
  > AD777x support for ZedBoard and DE10Nano (#937)
  > axi_ltc2387: Update data formatter instance to use bits instead of octets
  > jesd204/ad_ip_jesd204_tpl_adc: Fix data formater for N'=12 if DMA interface is also 12
  > scripts: Merge adi_env.tcl into a single file
  > library/jesd204: Updated jesd to support more lanes Modified the maximum number of supported lanes up to 32 lanes for every JESD layer
  > ad9081_fmca_ebz/vck190: Expose ref clock parameter
  > ad9081_fmca_ebz/common/versal_transceiver: Separate lane rates for Tx and Rx
  > ad9082_fmca_ebz/zcu102: Make JESD_MODE overwritable
  > util_do_ram: Fix Rx path for interrupted transfers
  > util_axis_fifo_asym: Fixes for simulation
  > data_offload: Fix Tx bypass
  > adi_pd.tcl: Fix git_clean_string logic
  > cn0561_coraz7s: Fix gpio connections
  > sysid: Add sysid support for de10nano
  > ad9082_fmca_ebz/zc706: Fix parameters
  > ad9082_fmca_ebz/vcu118:  Fix default lane rate parameter
  > ad9082_fmca_ebz/zcu102: Fix default lane rate parameter
  > data_offload: Increase bypass FIFO size
  > ad9081_fmca_ebz: Reset cpack with Rx data offload
  > vcu128: Connect sys_mb_rstgen/ext_reset_in accordingly
  > ad_ip_jesd204_tpl_adc_hw.tcl: Add 14 bit option for converter resolution
  > scripts/adi_project_xilinx.tcl: Add new constraints file support
  > Merge CN0506 projects into a parameterized one
  < util_do_ram: Fix Rx path for interrupted transfers
  < util_axis_fifo_asym: Fixes for simulation
  < data_offload: Fix Tx bypass
  < sysid: Add sysid support for de10nano
  > action: Add workflow for github action to run check_guideline.py
  > script: Add Py script to check for guideline rules & README.md
  > projects: Update .v files according to guideline
  > libraries: Update modules according to guideline
  > lint: Update to run only in PRs. Change version for checkout action
  > ad9081_fmca_ebz: a10soc: Update resistor change comment
  > .gitignore: ignore files generated by Quartus & Platform Designer Added the files generated by Quartus Pro 21.2, 20.1 and Platform Designer for each of the versions. The files added are generated and removed by make.
  > ad_quadmxfe1_ebz/vcu118/system_project.tcl: Update  comments
  > ad_fmclidar1_ebz:a10soc Fixed problems with SPI communication with AD9094 (#951)
  > projects:daq2:common: fix adi_tpl_jesd204_rx_create error. (#952)
  > .gitignore: Fix to ignore imbricated bd.tcl files too
  > PN mismatch DAQ2, DAQ3 and FMCJESDADC1 fix (#950)

Submodule linux 9dfba10...3eae700:
  > ci: don't fail ci build over changed defconfig
  > ci: add new internal function to setup git account
  > arch: arm: configs: explicitly disable gcc-plugins
  > microblaze: dts: Update compatible xlnx,axi-iic-2.1
  > arch: arm64: adrv9009-zu11eg: Update hmc7044_ext
  > iio: adc: adrv9002: fix mixed declarations and code in the API
  > iio: adc: adrv9002: fix unused variable warning in the API
  > iio: adc: adrv9002: fix api usage of 'printf()'
  > firmware: Update firmware for adrv9002
  > iio: adc: adrv9002: Update API to 68.0.6
  > iio: adc: ad7124: fix sequencer support
  > iio: adc: ad4630: reset averaging on test_pattern mode
  > iio: adc: ad4630: add missing test_pattern channel
  > arch: arm: boot: dts: fix ad4630 hdl tag
  > iio: kconfig.adi: imply AD4630
  > arch: arm: boot: dts: Add ad4630-24 dts
  > Documentation: ABI: testing: Add ad4630 sysfs description
  > drivers: iio: adc: add support for ad4630
  > dt-bindings: iio: adc: Add docs for ad4630
  > hwmon: (ltc2947) fix temperature scaling
  > iio: dac: ltc2688: fix voltage scale read
  > arch: arm: boot: dts: Fix cn0506 socfpga DT
  > net: ethernet: stmicro: stmmac_main: Fix mode mask
  > net: phy: adin: add support for clock output
  > dt-bindings: net: adin: document phy clock output properties
  > arch: arm: dts: arria10_adrv9002: Devices names inconsistency
  > arch: arm: dts: add devicetree for ADAQ8092
  > drivers: iio: Kconfig.adi: add ADAQ8092
  > iio:adc:adaq8092: add support for ADAQ8092
  > dt-bindings: iio: adc: add ADAQ8092 doc
  > iio: frequency: ad9172: Fix jesd204-fsm final state transition
  > media: xilinx: xilinx-hdmirxss: fix 64bit division on 32bit archs
  > clkk: clk-xlnx-clock-wizard-v: fix 64bit division on 32bit archs
  > clk: clk-xlnx-clock-wizard: fix 64bit division on 32bit archs
  > include: linux: remoteproc: fix 'da_to_va()' type
  > pwm: pwm-sti: fix 64bit division on 32bit architectures
  > iio: adc: adi-axi-adc: fix compilation
  > spi: spi-bcm2835: fix compilation
  > usb: phy: phy-ulpi.c: sync with upstream
  > clk: clk-xlnx-clock-wizard-v: fix missing bitfield include
  > clk: clk-xlnx-clock-wizard: fix missing bitfield include
  > Kconfig.adi: imply AD74115
  > iio: addac: ad74115: remove unused ad74115_dac_slew_rate_hz_tbl
  > iio: addac: add AD74115 driver
  > dt-bindings: iio: addac: add AD74115
  > iio: addac: ad74413r: add support for reset-gpio
  > dt-bindings: iio: ad74413r: add optional reset-gpios
  > iio: addac: ad74413r: add spi_device_id table
  > watchdog: dw_wdt: stop on reboot
  > watchdog: stop wdd when watchdog hw running in reboot_notifier
  > iio: adc: adrv9002: add spi id_table support
  > iio: adc: adrv9002: add myself as MODULE_AUTHOR
  > iio: adc: adrv9002: move OF specific code to it's own file
  > iio: adc: adrv9002: Re-order function declarations
  > iio: adc: adrv9002: always select CF_AXI_ADC
  > iio: adc: adrv9002: constify where possible
  > iio: adc: adrv9002: add helper to get hop table
  > iio: adc: adrv9002: add helper to set carrier frequency
  > iio: adc: adrv9002: add helper to get/set hardware gain
  > iio: adc: adrv9002: improve IIO read/write() callbacks code flow
  > iio: adc: adrv9002: remove meaningless '__maybe_unused'
  > iio: adc: adrv9002: add macro to wrap api calls
  > iio: adc: adrv9002: drop custom, repeated macros
  > iio: adc: adrv9002: small improvement on profile read
  > iio: adc: adrv9002: remove sysfs bin attrs size check
  > iio: adc: adrv9002: add comment on why 'hop_tbl' is static
  > iio: adc: adrv9002: fix possible data race
  > iio: adc: adrv9002: properly return error code
  > iio: adc: adrv9002: properly set gain table type
  > net: usb: smsc95xx: fix external PHY reset
  > drivers: iio: accel: Add support for ADXL359 device
  > dt-bindings: iio: accel: Add docs for ADXL359
  > drivers: iio: accel: Use warning if invalid device id is detected
  > iio: adc: ad4130: depend on GPIOLIB
  > Documentation: ad4130: format list of in_voltage-voltage_filter_mode_available modes
  > iio: adc: ad4130: Fix spelling mistake "diffreential" -> "differential"
  > iio: temperature: ltc2983: support more parts
  > dt-bindings: iio: temperature: ltc2983: support more parts
  > dt-bindings: iio: temperature: ltc2983: use generic node name in example
  > dt-bindings: iio: temperature: ltc2983: describe broken mux delay property
  > dt-bindings: iio: temperature: ltc2983: refine descriptions
  > dt-bindings: iio: temperature: ltc2983: change default excitation for custom thermistors
  > dt-bindings: iio: temperature: ltc2983: require 4 wire rtd for current rotate
  > dt-bindings: iio: temperature: ltc2983: require custom sensor tables
  > dt-bindings: iio: temperature: ltc2983: describe matrix items
  > dt-bindings: iio: temperature: ltc2983: remove qutations from phandle ref
  > dt-bindings: iio: temperature: ltc2983: use hex for sensor address
  > dt-bindings: iio: temperature: ltc2983: add default values
  > iio: temperature: ltc2983: make bulk write buffer DMA-safe
  > iio: temperature: ltc2983: allocate iio channels once
  > dt-bindings: iio: adi,ltc2983: Fix 64-bit property sizes
  > iio: temperature: ltc2983: Make use of device properties
  > iio: temperature: ltc2983: Use single error path to put OF node
  > iio: temperature: ltc2983: Don't hard code defined constants in messages
  > iio: ltc2983: fail probe if no channels are given
  > iio: ltc2983: add support for optional reset gpio
  > iio: ltc2983: fix device probe
  > net: ethernet: adi: adin1110: Fix notifiers
  > Kconfig.adi: imply AD4130
  > iio: adc: ad4130: add AD4130 driver
  > dt-bindings: iio: adc: add AD4130
  > dt-bindings: net: adin1110: Document reset
  > net: ethernet: adi: adin1110: add reset GPIO
  > net: ethernet: adi: adin1110: Fix SPI transfers
  > net: ethernet: adi: adin1110: Add check in netdev_event
  > drivers: jesd204 :jesd204-core: copy_link_params() sysref param fix
  > iio: adis: add '__adis_enable_irq()' implementation Add '__adis_enable_irq()' implementation which is the unlocked version of 'adis_enable_irq()'. Call '__adis_enable_irq()' instead of 'adis_enable_irq()' from '__adis_intial_startup()' to keep the expected unlocked functionality.
  > iio: addac: ad74413r: fix integer promotion bug in ad74413_get_input_current_offset()
  > arch: arm: boot: dts: Add devicetree for ltc2387
  > drivers: iio: adc: Add support for LTC2387
  > dt-bindings: iio: adc: Add docs for ltc2387
  > arm: dts: Add ad9083_a10soc Development Kit support
  > arch: arm64: boot: dts: update stingray HDL tags
  > arch: microblaze: dts: Add sysid support vcu118
  > arch: arm: dts: de10nano: add sysid support
  > iio: kconfig.adi: imply MAX11410
  > Documentation: ABI: testing: add max11410 doc
  > dt-bindings: iio: adc: add adi,max11410.yaml
  > iio: adc: add max11410 adc driver
  > arm64: zynqmp-zcu102-rev10-stingray: Update ADAR1000s labels
  > arm64: zynqmp-zcu102-rev10-stingray: Disable the one shot mode
  > arm64: zynqmp-zcu102-rev10-stingray: Provide all 4 available options
  > arm64: zynqmp-zcu102-rev10-stingray: Update stingray_control
  > iio: adc: adrv9002: add support for external LOS
  > arch: arm64: dts: fix adrv9002 ref clock property
  > arch: arm: dts: fix adrv9002 ref clock property
  > arch: arm: dts: fix incorrect DMA address range
  > arch: arm: dts: add zedboard ad4134
  > Kconfig.adi: imply AD4134 driver
  > iio: adc: ad4134: add AD4134 driver
  > dt-bindings: iio: adc: add AD4134
  > kconfig.adi: make use of imply keyword
  > clk: kconfig.adi: make use of imply keyword
  > hwmon: kconfig: make use of imply keyword
  > iio: kconfig.adi: make use of imply keyword
  > input: kconfig.adi: make use of imply keyword
  > media: kconfig.adi: make use of imply keyword
  > usb: kconfig.adi: make use of imply keyword
  > soc: codecs: kconfig: make use of imply keyword
  > drivers: spi: spi-axi-spi-engine: Increase buffer
  > drivers: spi: spi-axi-spi-engine: Add word delay
  > drivers: spi: spi-axi-spi-engine: Add BPW mask
  > arch: arm64: dts: fmcbridge: update hdl tag
  > net: ethernet: adi: adin1110: sync with upstream
  > iio: adc: adrv9002: improve debugfs enablement delays reading
  > iio: adc: adrv9002: drop 'bin_attr_sz' variable
  > iio: adc: adrv9002: simplify probing
  > iio: adc: adrv9002: make use of read_poll_timeout()
  > iio: adc: adrv9002: fix enablement delays validations
  > arch/arm/boot/dts: a10soc_ad9136 dts: add hdl tag
  > arch: arm64: dts: xmicrowave: fix hdl tag
  > dts: xilinx/zynqmp-zcu102-rev10-adrv9009-tx-l2: Add dts for TX lanes=2
  > dts: zynqmp-adrv9009-zu11eg-fmcomms8-tx-l8: Add dts for TX lanes=8
  > dts: zynqmp-zcu102-rev10-adrv9009-fmcomms8-tx-l4: Add dts for TX lanes=4
  > dts: zynqmp-adrv9009-zu11eg-tx-l4: Add dts for TX lanes=4
  > dts: zynq-zc706-adv7511-adrv9009-tx-l2: Add dts for TX lanes=2
  > CI: install GitPython for checkpatch
  > iio: filter: admv8818: fix out-of-bounds read
  > iio: adc: adrv9002: remove check for "</table" in frequency hopping
  > CI:fix push to Artifactory
  > CI: update upload_to_artifactory.py
  > drivers: iio: adc: Rename the LTC2499 iio device
  > drivers: iio: adc: LTC2499 support
  > Add MAINTAINERS entries for LTC2497 and LTC2496
  > dt-bindings: iio: adc: Add docs for LTC2499
  > Remove duplicate matching entry
  > iio: ltc2497: Fix reading conversion results
  > dt-bindings: iio: adi,ad7923: add adi,range-double property
  > iio: adc: ad7923: support extended range
  > iio: adc: ad7923: fix channel readings for some variants
  > iio: dac: ad5593r: Fix i2c read protocol requirements
  > drivers: iio: accel: adxl312 and adxl314 support
  > dt-bindings: iio: accel: adxl313: Add compatibles for adxl312 and adxl314
  > iio: buffer: dmaengine: make iio_dmaengine_buffer_alloc() private
  > misc: mw_stream_iio_channel: make use of devm_iio_dmaengine_buffer_alloc()
  > iio: frequency: m2k-dac: move to device managed probe
  > iio: dac: cf_axi_dds: move to device managed probe
  > iio: adc: cf_axi_adc_core: move to device managed probe
  > iio: adc: admc_speed: move to device managed probe
  > iio: adc: admc_adc: move to device managed probe
  > iio: adc: ad_adc: move to device managed probe
  > iio: adc: ad7768-1: make use of devm_iio_dmaengine_buffer_alloc()
  > iio: adc: ad400x: move to device managed probe
  > iio: logic: m2k-logic-analyzer: make use of devm_iio_dmaengine_buffer_alloc()
  > iio: adc: ad7768-1: fix hardware buffer allocation
  > README: update links
  > dts: socfpga_arria10_socdk_cn0506: update HDL tag
  > dts: zynqmp-zcu102-rev10-cn0506: update HDL tag
  > dts: zynq-zed-adv7511-cn0506: update HDL tag
  > dts: zynq-zc706-adv7511-cn0506: update HDL tag
  > arch: arm64: config: update adi configuration
  > Merge tag 'xilinx-v2021.2' of https://github.com/Xilinx/linux-xlnx.git
  > CI:fix push to Artifactory
  > spi: spi-xilinx: Fix bits_per_word_mask
  > arch: arm64: dts: add adrv9003 devicetrees
  > arch: arm: dts: add adr9003 devicetrees
  > arch: arm64: adi_zynqmp_defconfig: add adrv9003 profiles
  > arch: arm: zynq_xcomm_adv7511_defconfig: add adrv9003 profiles
  > firmware: add adrv9003 default profiles
  > iio: adc: adrv9002: support adrv9003
  > dmaengine: axi-dmac: check cache coherency register
  > dts: zynqmp-zcu102-rev10-ad9082-204c-txmode36-rxmode28: New use case
  > drivers: iio: Kconfig.adi: add ADXL313
  > CI:change date format in rpi_git_properties.txt
  > iio: adc: ad9371: Fix device attribute calibrate read return value
  > iio: adc: adrv9009: Fix device attribute calibrate read return value
  > zcu102_ad9695.dts: update hdl tag
  > arch: arm64: dts: adrv9002: Fix/Update TX DMA interrupt
  > iio: adc: ad9467: don't prepare clk for ad9250
  > dts: zynq-zc706-adv7511-ad9081-np12.dts: Fix axi_jesd204_[rx|tx] clocks
  > spi: spi-zynzmp-gqspi: Increase timeout interval during data transfer
  > spi: spi-zynq-gqspi: Set appropriate tapdelay during driver initialization
  > spi: spi-zynqmp-gqspi: Fix the zynqmp qspi driver call to get the spi controller devdata
  > spi: spi-zynqmp-gqspi: Fix chipselect timeout issue
  > Revert "spi: spi-zynqmp-gqspi: Check for TXFIFO Empty before transfer complete"
  > adi-axi-data-offload: Deprecate sample counter
  > adi-axi-data-offload: Use one hot encoded states
  > arm: dts: add socfpga_cyclone5_de10_nano_hps
  > arch: arm64: dts: stingray: use custom model name
  > iio: adc: ad9081: API Version 1.3.1
  > dts: zynqmp-zcu102-rev10-ad9082-204c-txmode22-rxmode23-dual: Add example
  > iio: adc: ad9081: Devicetree support for SERDES controls
  > jesd204:axi_adxcvr.c Rate debug message
  > iio:jesd204:xilinx_transceiver.c: Fix function type (static function)
  > iio: adc: adrv9002: fix tdd rate calculation
  > iio: adc: adrv9002: refactor 'adrv9002_parse_dt()'
  > dt-bindings: iio: adrv9002: remove non existent defines
  > iio: adc: adrv9002: fix frequency hopping when no gpios are set
  > iio: adc: adrv9002: fix tdd clock export
  > arm64: dts: zynqmp-zcu102-rev10-ad9082-m4-l8.dts: Fix ref clock
  > arm: dts: arria10_ad9081: Fix device_clk linking
  > arm64: dts: adrv9009-zu11eg-fmcomms8: Added coarse delays
  > iio: adc :ad9081: Dual link support for bist_prbs_error_counters_jrx
  > drivers: pwm: axi-pwmgen: Add PWM ops
  > Documentation: ABI: testing: pwm: Add time_unit
  > drivers: pwm: axi-pwmgen: Add time unit support
  > Documentation: driver-api: pwm.rst: Add time_unit
  > drivers: pwm: core: Add PWM time unit
  > include: linux: pwm.h: Fix pwm_apply_args
  > Documentation: pwm: Add phase documentation
  > drivers: pwm: sysfs: Add phase sysfs
  > include: linux: pwm: Convert phase to u64
  > pwm: Convert period and duty cycle to u64
  > drivers: pwm: axi-pwmgen: Rename offset
  > include: linux: pwm.h: Rename offset
  > drivers: usb: chipidea: Let the PHY set VBUS
  > arch: arm: boot: dts: Remove unused usb-phy
  > iio: adc: adrv9009: Provide InitCal status upon error
  > iio: adc: talise: Fix corner case in reference clock handling
  < arch: arm: boot: dts: zed+ad4630: update hdl tag
  > serial: max310x: implement I2C support
  > serial: max310x: make accessing revision id interface-agnostic
  > serial: max310x: use a separate regmap for each port
  > serial: max310x: use regmap methods for SPI batch operations
  > serial: max310x: Make use of device properties
  > serial: max310x: unregister uart driver in case of failure and abort
  > Revert "serial: max310x: pass return value of spi_register_driver"
  > Revert "serial: max310x: rework RX interrupt handling"
  < dts: vcu118_ad9081.dts: Add axi-data-offload engine support
  < dts: vcu128_ad9081.dts: Fix axi-data-offload node names
  < iio: jesd204: xilinx_transceiver.c: Fix error handling
  < drivers: iio: addac: one-bit-adc-dac: Remove unused var
  < drivers: iio: addac: one-bit-adc-dac: Fix read_label()
  < arch: arm: boot: dts: Add ad4630-24 dts
  < drivers: iio: adc: AD4630
  < Documentation: ABI: testing: Add ad4630 sysfs description
  < dt-bindings: iio: adc: Add docs for ad4630
  < drivers: pwm: axi-pwmgen: Add PWM ops
  < Documentation: ABI: testing: pwm: Add time_unit
  < drivers: pwm: axi-pwmgen: Add time unit support
  < Documentation: driver-api: pwm.rst: Add time_unit
  < drivers: pwm: core: Add PWM time unit
  < include: linux: pwm.h: Fix pwm_apply_args
  < Documentation: pwm: Add phase documentation
  < drivers: pwm: sysfs: Add phase sysfs
  < include: linux: pwm: Convert phase to u64
  < pwm: Convert period and duty cycle to u64
  < drivers: pwm: axi-pwmgen: Rename offset
  < include: linux: pwm.h: Rename offset
  < drivers: usb: chipidea: Let the PHY set VBUS
  < arch: arm: boot: dts: Remove unused usb-phy
  > iio: adc: ad9081: Remove "adi_utils.h" include
  > iio: adc: ad9081: Updates for API v1.3.0
  > iio: adc: ad9081: API Version 1.3.0
  > iio: jesd204: xilinx_transceiver.c: Fix error handling
  > iio: frequency: ad9528: Support for GPIO trigger SYSREF requests
  < arch: arm : boot: dts: set vcxo to 100 MHz
  > arch: arm : boot: dts: set vcxo to 100 MHz
  < arch: arm: dts: de10nano: add sysid support
  < zynqmp-zcu102-rev10-ad9082: fix HDL project tag
  < arch: arm: boot: dts: rename SPI Engine AXI CLKGEN
  > microblaze: boot: dts: Remove redundant axi-dmac channel nodes
  > microblaze: dts: adi-fmcjesdadc1.dtsi: Fix reg format warning
  > dts: vcu118_quad_ad908*: Add HDL Synthesis Parameters comments
  > dts: microblaze: vcu118_quad_ad908*: Fix typo ADRF4360 -> ADF4371
  > dts: vcu128_ad9081.dts: Fix axi-data-offload node names
  > dts: vcu118_ad9081.dts: Add axi-data-offload engine support
  > dts: vcu118_ad9081.dts: Drop redundant axi-dmac channel nodes
  > drivers: iio: addac: one-bit-adc-dac: Remove unused var
  > drivers: iio: addac: one-bit-adc-dac: Fix read_label()
  > arch: arm: boot: dts: rename SPI Engine AXI CLKGEN
  > iio: adc: ad9371_conv: Remove useless variable
  > dts: adrv9009/8: Fix JESD204 ADC/DAC TPL Core mappings
  > dts: ad9371: Fix JESD204 ADC/DAC TPL Core mappings
  > iio: adc: ad9371_conv: Remove DAC Core settings from the ADC TPL Core
  > iio: adc: adrv9009_conv: Remove DAC Core settings from the ADC TPL Core
  > iio: adc: ad9371_conv.c: Remove unused ad9371_hdl_loopback function
  > iio: adc: adrv9009_conv.c: Remove unused adrv9009_hdl_loopback function
  > iio: adrv9002: api fix mixed code declarations warnings
  > iio: adrv9002: api: do no use floating points
  > iio: adrv9002: api: fix stdlib includes and misc warnings
  > firmware: Update firmware for adrv9002
  > iio: adrv9002: Update API to 67.1.1
  > zynqmp-zcu102-rev10-ad9082: fix HDL project tag
  > drivers: iio: ad9467: fix ad9625 scale handling
  > CI:set 'exit 1' if timestamp folder is not found
  > drivers: iio: ad9467: fix ad9649 scale handling
  > CI:add z option to tar archive
  > iio: frequency: adf4371: Fix Lock Detect bit reading

Signed-off-by: Michael Hennerich <[email protected]>
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants