Skip to content

Instructions for setting up the environment to run a sample Chisel program locally

Notifications You must be signed in to change notification settings

aminiok1/chisel-hello-world

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

4 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Getting Started with Chisel

The following procedure is a walk-through for setting up the environment and running a test program in Chisel. The source code and build file are copied from Chisel Template Repository

Dependencies

The installation commands are tested in Ubuntu 18.04.

JDK 8 or newer

sudo apt install openjdk-8-jre-headless 

SBT

echo "deb https://dl.bintray.com/sbt/debian /" | sudo tee -a /etc/apt/sources.list.d/sbt.list
curl -sL "https://keyserver.ubuntu.com/pks/lookup?op=get&search=0x2EE0EA64E40A89B84B2DF73499E82A75642AC823" | sudo apt-key add
sudo apt-get update
sudo apt-get install sbt

FIRRTL and Treadle

git clone https://github.com/chipsalliance/firrtl.git
git clone https://github.com/chipsalliance/treadle.git
pushd firrtl; sbt publishLocal; popd
pushd treadle; sbt publishLocal; popd

Chisel Library

git clone https://github.com/chipsalliance/chisel3.git
cd chisel3
sbt compile

Running the program

git clone https://github.com/aminiok1/chisel-hello-world.git
sbt test

Alternatively, you can generate the Verilog code for the GCD module:

sbt 'runMain gcd.GcdDriver'

About

Instructions for setting up the environment to run a sample Chisel program locally

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages