Skip to content

Commit

Permalink
Исправление подключения сумматора в nexys_adder
Browse files Browse the repository at this point in the history
  • Loading branch information
HepoH3 committed Sep 18, 2023
1 parent 16b8370 commit e50170d
Showing 1 changed file with 6 additions and 6 deletions.
12 changes: 6 additions & 6 deletions Labs/01. Adder/board files/nexys_adder.sv
Original file line number Diff line number Diff line change
Expand Up @@ -30,12 +30,12 @@ reg [15:0] LEDr;

fulladder32 DUT
(
.A (A),
.B (B),
.Pin (Pin),
.a_i (A),
.b_i (B),
.carry_i (Pin),

.S (S),
.Pout (Pout)
.sum_o (S),
.carry_o (Pout)
);

assign B = {24'b0,SW[7:0]};
Expand Down Expand Up @@ -127,4 +127,4 @@ always @(posedge CLK100) begin
end
end

endmodule
endmodule

0 comments on commit e50170d

Please sign in to comment.