Skip to content

Commit

Permalink
ЛР9. Рефактор с учетом переработки лабораторных с памятью
Browse files Browse the repository at this point in the history
  • Loading branch information
HepoH3 committed Jul 18, 2024
1 parent 0479c45 commit b6bd46e
Showing 1 changed file with 2 additions and 3 deletions.
5 changes: 2 additions & 3 deletions Labs/09. LSU Integration/README.md
Original file line number Diff line number Diff line change
Expand Up @@ -8,12 +8,11 @@ _Рисунок 1. Подключение LSU в процессорную сис

## Задание

Интегрировать модуль `riscv_lsu` в модуль `riscv_unit` c использованием внешней памяти (`ext_mem`) из лабораторной работы №7.
Интегрировать модуль `riscv_lsu` в модуль `riscv_unit`.

## Порядок выполнения работы

1. Интегрируйте модули `riscv_lsu` и `ext_mem` в модуль `riscv_unit`.
1. Обратите внимание, что из модуля `riscv_unit` необходимо убрать логику сигнала `stall`, т.к. она была перемещена внутрь модуля `riscv_lsu`.
2. Модуль `data_mem` из ЛР3 заменяется модулем `ext_mem` из ЛР7.
2. После интеграции модулей, проверьте процессорную систему с помощью программы из ЛР6.
2. После интеграции модулей, проверьте процессорную систему с помощью [программы](../07.%20Datapath/#Задание) из ЛР№7.
1. Обратите внимание на то, как теперь исполняются инструкции `sw`, `sh`, `sb`, `lw`, `lh`, `lb`, `lhu`, `lbu`.

0 comments on commit b6bd46e

Please sign in to comment.