Skip to content

Commit

Permalink
Update Multiplexors.md
Browse files Browse the repository at this point in the history
  • Loading branch information
HepoH3 committed Sep 19, 2023
1 parent cbd8112 commit 99c429b
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion Basic Verilog structures/Multiplexors.md
Original file line number Diff line number Diff line change
Expand Up @@ -124,7 +124,7 @@ end

```SystemVerilog
logic Y;
always @(*) begin
always_comb begin
case(S) // Описываем блок case, где значение сигнала S
// будет сравниваться с различными возможными его значениями
1'b0: Y <= D0; // Если S==0, то Y = D0
Expand Down

0 comments on commit 99c429b

Please sign in to comment.