Skip to content

Commit

Permalink
ЛР3. Убрано лишнее указание в порядке выполнения задания
Browse files Browse the repository at this point in the history
  • Loading branch information
HepoH3 committed Sep 18, 2023
1 parent 552c433 commit 541cbb6
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion Labs/03. Register file and memory/README.md
Original file line number Diff line number Diff line change
Expand Up @@ -346,7 +346,7 @@ mоdulе rf_r𝚒sсv(
1. В `Design Sources` проекта создайте `SystemVerilog`-файл `rf_riscv.sv`.
2. Опишите в нем модуль регистрового файла с таким же именем и портами, как указано в задании.
1. Обратите внимание, что имя памяти (не название модуля, а имя объекта памяти внутри модуля) должно быть `rf_mem`. Такое имя необходимо для корректной работы верификационного окружения.
2. В отличии от памяти инструкций и данных, ячейки памяти регистрового файла должны быть 32-битными (а на 8-битными). Это означает, что реализация портов чтения и записи будет проще.
2. Как и у памяти инструкций, порты чтения регистрового файла должны быть **асинхронными**.
3. Не забывайте, что у вас 2 порта на чтение и 1 порт на запись, при этом каждый порт не зависит от остальных (в модуле 3 независимых входа адреса).
4. Чтение из нулевого регистра (чтение по адресу 0) всегда должно возвращать нулевое значение. Этого можно добиться двумя путями:
1. Путем добавления мультиплексора перед выходным сигналом чтения (мультиплексор будет определять, пойдут ли на выход данные из ячейки регистрового файла, либо в случае если адрес равен нулю, на выход пойдет константа ноль).
Expand Down

0 comments on commit 541cbb6

Please sign in to comment.