Skip to content

Commit

Permalink
ЛР11. Добавление прямой ссылки на описание программы
Browse files Browse the repository at this point in the history
  • Loading branch information
HepoH3 committed Nov 28, 2023
1 parent c21defe commit 3b19980
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion Labs/11. Interrupt integration/README.md
Original file line number Diff line number Diff line change
Expand Up @@ -21,4 +21,4 @@
1. Обратите внимание, что что в модуле `riscv_core` появились новые входные и выходные сигналы: `irq_req_i` и `irq_ret_o`. Эти сигналы должны быть использованы при подключении `riscv_core` в модуле `riscv_unit`.
1. Ко входу `irq_req_i` должен быть подключен провод `irq_req`, другой конец которого пока не будет ни к чему подключен (в следующей лабораторной это будет изменено).
2. К выходу `irq_ret_o` необходимо подключить провод `irq_ret`, который также пока не будет использован.
2. После интеграции модулей, проверьте процессорную систему с помощью [программы](irq_program.txt), текст которой был представлен в ЛР10 с помощью предоставленного [тестбенча](tb_irq_unit.sv).
2. После интеграции модулей, проверьте процессорную систему с помощью [программы](irq_program.txt), текст которой [был представлен](../10.%20Interrupt%20subsystem#пример-обработки-перехвата) в ЛР10 с помощью предоставленного [тестбенча](tb_irq_unit.sv).

0 comments on commit 3b19980

Please sign in to comment.