Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Reversion of bug 1257: Indentation within generate construct after always block is wrong if generate/endgenerate omitted #1820

Open
dbhopper opened this issue Jan 17, 2023 · 2 comments
Assignees

Comments

@dbhopper
Copy link

I was the original reporter of what was ported into Github as issue 1257, which was fixed by Punzik on 9/14/2021. I appreciate the fix, BTW! I didn't realize until recently the issue had been resolved.

However, it appears the current git rev has a behavioral reversion on this issue. It once again doesn't properly indent such code in generate blocks as 1257 posters detail. It looks like some of the patched code was totally rewritten in a Oct 16 commit by Gonzalo Larumbe. It may be the source of the bug's reappearance:

commit ce34ef9
Author: Gonzalo Larumbe [email protected]
AuthorDate: Sun Oct 2 17:33:59 2022 +0200
Commit: Gonzalo Larumbe [email protected]
CommitDate: Sun Oct 16 12:49:32 2022 +0200

Fix bug in verilog-in-generate-region-p

Would it be possible to re-run the test cases from issue 1257, including my original example, and either fix the current verilog-in-generate-region-p code, or revert to the pre-ce34ef91 code here? Thanks!

Dan

@wsnyder
Copy link
Member

wsnyder commented Jan 17, 2023

@gmlarumbe, might you take a look?

@gmlarumbe gmlarumbe self-assigned this Jan 18, 2023
gmlarumbe added a commit that referenced this issue Jan 18, 2023
* verilog-mode.el (verilog-in-generate-region-p):
Fix indentation of generate blocks omitting keyword.
Revert changes of PR #1815 and update tests accordingly.

Signed-off-by: Gonzalo Larumbe <[email protected]>
@gmlarumbe
Copy link
Contributor

Hi @dbhopper ,

Thanks for reporting the issue.

Changes of ce34ef9 are part of PR #1815. There is a snippet that shows some of the problems with verilog-in-generate-region-p.

For some reason I added the generate/endgenerate keywords to the tests (maybe while working on a different issue) and that hid indentation errors. My bad, sorry for the confusion.

I have reverted the changes from ce34ef9 and updated the tests in 2034ab7. Could you please check if it works as expected now?

Thanks!

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

3 participants