diff --git a/docs/hardware/assets/Tang/primer_25k/primer_25k.png b/docs/hardware/assets/Tang/primer_25k/primer_25k.png new file mode 100644 index 0000000000..84e5382896 Binary files /dev/null and b/docs/hardware/assets/Tang/primer_25k/primer_25k.png differ diff --git a/docs/hardware/en/logic_analyzer/combo8/update_firmware.md b/docs/hardware/en/logic_analyzer/combo8/update_firmware.md index 2e475d11e8..5ec4ddda6f 100644 --- a/docs/hardware/en/logic_analyzer/combo8/update_firmware.md +++ b/docs/hardware/en/logic_analyzer/combo8/update_firmware.md @@ -33,17 +33,17 @@ Just select the latest version of the burning tool and firmware, and unzip it af After startup, select BL616/618 and click Finish - ![image-20230901095004741](./../../../zh/logic_analyzer/combo8/assets/download_firmware/chip_selection.png) + ![chip_selection](./../../../zh/logic_analyzer/combo8/assets/download_firmware/chip_selection.png) 3. Enable `Single Download Options` and add the downloaded firmware - ![image-20230901095354294](./../../../zh/logic_analyzer/combo8/assets/download_firmware/config_download_firmware.png) + ![config_download_firmware](./../../../zh/logic_analyzer/combo8/assets/download_firmware/config_download_firmware.png) ## Configure device Put SLogic combo 8 into burning mode -![image-20230901101839362](./../../../zh/logic_analyzer/combo8/assets/download_firmware/enter_the_burn_mode.png) +![enter_the_burn_mode](./../../../zh/logic_analyzer/combo8/assets/download_firmware/enter_the_burn_mode.png) Steps: @@ -55,6 +55,6 @@ Steps: Configure the serial port and baud rate, and click `Create & Download` to download -![image-20230901102645831](./../../../zh/logic_analyzer/combo8/assets/download_firmware/download_firmware.png) +![download_firmware](./../../../zh/logic_analyzer/combo8/assets/download_firmware/download_firmware.png) After the download is completed, the progress bar displays a green box, indicating that the download is successful and the firmware update is completed. \ No newline at end of file diff --git a/docs/hardware/en/tang/tang-primer-20k/primer-20k.md b/docs/hardware/en/tang/tang-primer-20k/primer-20k.md index 94b6c0cb25..de9f85bb39 100644 --- a/docs/hardware/en/tang/tang-primer-20k/primer-20k.md +++ b/docs/hardware/en/tang/tang-primer-20k/primer-20k.md @@ -80,7 +80,7 @@ Buy it: [Aliexpress](https://www.aliexpress.com/item/1005004653308809.html) Flash 32Mbits NOR Flash - W25Q32JVS + Read burn Flash Debugger @@ -234,7 +234,7 @@ The corresponding pin numbering between R8 and P9 is P8. See the mark on the top Ethernet Interface 1 - TL8201F + RTL8201F @@ -311,7 +311,7 @@ For 20K Dock kits, it's necessary to enable the core board before using debugger | --- | --- | --- | |switch_1_on|reset_led_on | When disabled, the LDE0 and LED1 is on, and core board doesn't work.| -### How to burn into flash +### How to burn into flash {#burn_flash} Do following configurations: diff --git a/docs/hardware/zh/logic_analyzer/combo8/update_firmware.md b/docs/hardware/zh/logic_analyzer/combo8/update_firmware.md index 1189f0a27f..8c85d61c9b 100644 --- a/docs/hardware/zh/logic_analyzer/combo8/update_firmware.md +++ b/docs/hardware/zh/logic_analyzer/combo8/update_firmware.md @@ -19,8 +19,6 @@ update: 烧录工具和固件选择最新版本即可,下载完成后自行解压 - - ## 配置烧录工具 1. 启动烧录工具 @@ -35,17 +33,17 @@ update: 启动后,选择BL616/618并点击Finish - ![image-20230901095004741](./assets/download_firmware/chip_selection.png) + ![chip_selection](./assets/download_firmware/chip_selection.png) 3. 使能Single Download Options,并添加下载好的固件 - ![image-20230901095354294](./assets/download_firmware/config_download_firmware.png) + ![config_download_firmware](./assets/download_firmware/config_download_firmware.png) ## 配置设备 让SLogic combo 8进入烧录模式 -![image-20230901104542310](./assets/download_firmware/enter_the_burn_mode.png) +![enter_the_burn_mode](./assets/download_firmware/enter_the_burn_mode.png) 操作步骤: @@ -57,6 +55,6 @@ update: 配置串口号和波特率,并点击`Create & Download`即可下载 -![image-20230901102645831](./assets/download_firmware/download_firmware.png) +![download_firmware](./assets/download_firmware/download_firmware.png) 下载完成后,进度条显示绿框说明下载成功,更新固件完成 \ No newline at end of file diff --git a/docs/hardware/zh/tang/tang-primer-20k/primer-20k.md b/docs/hardware/zh/tang/tang-primer-20k/primer-20k.md index bc635ce602..65b90a0b87 100644 --- a/docs/hardware/zh/tang/tang-primer-20k/primer-20k.md +++ b/docs/hardware/zh/tang/tang-primer-20k/primer-20k.md @@ -71,7 +71,7 @@ Tang Primer 20K 是基于 [GW2A-LV18PG256C8/I7](http://www.gowinsemi.com.cn/prod Flash 32Mbits NOR Flash - 下载方式参考底部相关问题 + 查看 烧录到Flash 调试接口 @@ -240,7 +240,7 @@ Tang Primer 20K 是基于 [GW2A-LV18PG256C8/I7](http://www.gowinsemi.com.cn/prod 以太网接口 1 - TL8201F 芯片实现以太网功能 + RTL8201F 芯片实现以太网功能 @@ -332,7 +332,7 @@ github 链接: https://github.com/sipeed/TangPrimer-20K-example -### 如何下载到外部 FLASH +### 如何下载到外部 FLASH {#burn_flash} 进行如下选项设置: diff --git a/layout/locales/en/LC_MESSAGES/messages.po b/layout/locales/en/LC_MESSAGES/messages.po index 5512ab5edd..7b58788117 100644 --- a/layout/locales/en/LC_MESSAGES/messages.po +++ b/layout/locales/en/LC_MESSAGES/messages.po @@ -9,7 +9,7 @@ msgstr "" #: home.html:96 home.html:109 home.html:122 home.html:135 licheepi.html:136 #: licheepi.html:152 licheepi.html:168 licheepi.html:184 maix.html:114 #: maix.html:131 maix.html:147 maixsense.html:44 maixsense.html:60 -#: tang.html:133 tang.html:149 tang.html:165 tang.html:181 +#: tang.html:161 tang.html:177 tang.html:193 tang.html:209 msgid "购买" msgstr "Buy" @@ -20,7 +20,7 @@ msgstr "" #: home.html:105 home.html:118 home.html:131 licheepi.html:132 #: licheepi.html:148 licheepi.html:164 licheepi.html:180 maix.html:110 #: maix.html:127 maix.html:143 maixsense.html:40 maixsense.html:56 -#: tang.html:129 tang.html:145 tang.html:161 tang.html:177 +#: tang.html:157 tang.html:173 tang.html:189 tang.html:205 msgid "文档" msgstr "Documentation" @@ -72,7 +72,7 @@ msgstr "CPU" msgid "内存" msgstr "memory" -#: licheepi.html:52 maix.html:59 tang.html:89 +#: licheepi.html:52 maix.html:59 tang.html:105 msgid "储存" msgstr "storage" @@ -257,72 +257,76 @@ msgstr "Tang FPGA Series" #: tang.html:27 msgid "Tang 系列板卡参数对比" -msgstr "Maix Series Products Comparison" +msgstr "Tang Series Main Products Comparison" -#: tang.html:41 +#: tang.html:42 msgid "芯片" msgstr "Chip" -#: tang.html:49 +#: tang.html:51 msgid "查找表(LUT)" msgstr "LUT" -#: tang.html:57 +#: tang.html:60 msgid "寄存器(FF)" msgstr "FF" -#: tang.html:81 -msgid "内嵌处理器" -msgstr "Embedded CPU" - -#: tang.html:90 tang.html:91 tang.html:92 tang.html:93 tang.html:94 -#: tang.html:98 tang.html:102 +#: tang.html:88 tang.html:90 tang.html:106 tang.html:107 tang.html:108 +#: tang.html:109 tang.html:110 tang.html:111 msgid "板载_fpga" msgstr "Onboard" -#: tang.html:92 tang.html:93 tang.html:99 tang.html:100 tang.html:101 +#: tang.html:91 tang.html:92 tang.html:93 tang.html:110 tang.html:111 msgid "内含" -msgstr "Contain" +msgstr "Inchip" + +#: tang.html:96 +msgid "内嵌处理器" +msgstr "Embedded CPU" -#: tang.html:97 +#: tang.html:114 msgid "其他特点" msgstr "Features" -#: tang.html:98 +#: tang.html:115 msgid "内含 12.5G Transceivers" -msgstr "Contain 12.5G Transceivers" +msgstr "Inchip 12.5G Transceivers" -#: tang.html:98 +#: tang.html:115 msgid "支持 PCIE 通信" -msgstr "Support PCIE interface" +msgstr "Support PCIE Interface" -#: tang.html:98 +#: tang.html:115 msgid "支持 SFP+ 数据传输" -msgstr "Support SFP+ transmission" +msgstr "Support SFP+ Transmission" -#: tang.html:116 +#: tang.html:134 msgid "可以高速通信的大容量 FPGA 开发板" -msgstr "High speed communication FPGA Board" +msgstr "High Speed Interface FPGA Board" -#: tang.html:119 +#: tang.html:137 tang.html:147 msgid "敬请期待" msgstr "To See" -#: tang.html:126 +#: tang.html:144 +msgid "自由拓展的 FPGA 开发板" +msgstr "Free Expansion FPGA board" + +#: tang.html:154 +msgid "自带多种外设接口的 FPGA 开发板" +msgstr "Mutiple Interfaces FPGA Board" + +#: tang.html:170 msgid "适用于模拟复古游戏机的 FPGA 开发板" -msgstr "Retro Games friendly FPGA Board" +msgstr "Retro Games Friendly FPGA Board" -#: tang.html:142 +#: tang.html:186 msgid "适合 RiscV 软核验证的 FPGA 开发板" -msgstr "RiscV softcore FPGA Board" +msgstr "RiscV Softcore FPGA Board" -#: tang.html:158 +#: tang.html:202 msgid "芯片内部含有 MCU 的 FPGA 开发板" -msgstr "MCU inside Chip FPGA Board" - -#: tang.html:174 -msgid "自带多种外设接口的 FPGA 开发板" -msgstr "Mutiple interfaces FPGA board" +msgstr "Hardcore Inside FPGA Board" #~ msgid "预定" #~ msgstr "" diff --git a/layout/locales/messages.pot b/layout/locales/messages.pot index 70ecaddab0..dc88fffdc0 100644 --- a/layout/locales/messages.pot +++ b/layout/locales/messages.pot @@ -9,7 +9,7 @@ msgstr "" #: home.html:96 home.html:109 home.html:122 home.html:135 licheepi.html:136 #: licheepi.html:152 licheepi.html:168 licheepi.html:184 maix.html:114 #: maix.html:131 maix.html:147 maixsense.html:44 maixsense.html:60 -#: tang.html:133 tang.html:149 tang.html:165 tang.html:181 +#: tang.html:161 tang.html:177 tang.html:193 tang.html:209 msgid "购买" msgstr "" @@ -20,7 +20,7 @@ msgstr "" #: home.html:105 home.html:118 home.html:131 licheepi.html:132 #: licheepi.html:148 licheepi.html:164 licheepi.html:180 maix.html:110 #: maix.html:127 maix.html:143 maixsense.html:40 maixsense.html:56 -#: tang.html:129 tang.html:145 tang.html:161 tang.html:177 +#: tang.html:157 tang.html:173 tang.html:189 tang.html:205 msgid "文档" msgstr "" @@ -72,7 +72,7 @@ msgstr "" msgid "内存" msgstr "" -#: licheepi.html:52 maix.html:59 tang.html:89 +#: licheepi.html:52 maix.html:59 tang.html:105 msgid "储存" msgstr "" @@ -251,68 +251,72 @@ msgstr "" msgid "Tang 系列板卡参数对比" msgstr "" -#: tang.html:41 +#: tang.html:42 msgid "芯片" msgstr "" -#: tang.html:49 +#: tang.html:51 msgid "查找表(LUT)" msgstr "" -#: tang.html:57 +#: tang.html:60 msgid "寄存器(FF)" msgstr "" -#: tang.html:81 -msgid "内嵌处理器" -msgstr "" - -#: tang.html:90 tang.html:91 tang.html:92 tang.html:93 tang.html:94 -#: tang.html:98 tang.html:102 +#: tang.html:88 tang.html:90 tang.html:106 tang.html:107 tang.html:108 +#: tang.html:109 tang.html:110 tang.html:111 msgid "板载_fpga" msgstr "" -#: tang.html:92 tang.html:93 tang.html:99 tang.html:100 tang.html:101 +#: tang.html:91 tang.html:92 tang.html:93 tang.html:110 tang.html:111 msgid "内含" msgstr "" -#: tang.html:97 +#: tang.html:96 +msgid "内嵌处理器" +msgstr "" + +#: tang.html:114 msgid "其他特点" msgstr "" -#: tang.html:98 +#: tang.html:115 msgid "内含 12.5G Transceivers" msgstr "" -#: tang.html:98 +#: tang.html:115 msgid "支持 PCIE 通信" msgstr "" -#: tang.html:98 +#: tang.html:115 msgid "支持 SFP+ 数据传输" msgstr "" -#: tang.html:116 +#: tang.html:134 msgid "可以高速通信的大容量 FPGA 开发板" msgstr "" -#: tang.html:119 +#: tang.html:137 tang.html:147 msgid "敬请期待" msgstr "" -#: tang.html:126 +#: tang.html:144 +msgid "自由拓展的 FPGA 开发板" +msgstr "" + +#: tang.html:154 +msgid "自带多种外设接口的 FPGA 开发板" +msgstr "" + +#: tang.html:170 msgid "适用于模拟复古游戏机的 FPGA 开发板" msgstr "" -#: tang.html:142 +#: tang.html:186 msgid "适合 RiscV 软核验证的 FPGA 开发板" msgstr "" -#: tang.html:158 +#: tang.html:202 msgid "芯片内部含有 MCU 的 FPGA 开发板" msgstr "" -#: tang.html:174 -msgid "自带多种外设接口的 FPGA 开发板" -msgstr "" - diff --git a/layout/locales/zh/LC_MESSAGES/messages.po b/layout/locales/zh/LC_MESSAGES/messages.po index 09a3e01ce6..aca7fbcaaa 100644 --- a/layout/locales/zh/LC_MESSAGES/messages.po +++ b/layout/locales/zh/LC_MESSAGES/messages.po @@ -9,7 +9,7 @@ msgstr "" #: home.html:96 home.html:109 home.html:122 home.html:135 licheepi.html:136 #: licheepi.html:152 licheepi.html:168 licheepi.html:184 maix.html:114 #: maix.html:131 maix.html:147 maixsense.html:44 maixsense.html:60 -#: tang.html:133 tang.html:149 tang.html:165 tang.html:181 +#: tang.html:161 tang.html:177 tang.html:193 tang.html:209 msgid "购买" msgstr "" @@ -20,7 +20,7 @@ msgstr "" #: home.html:105 home.html:118 home.html:131 licheepi.html:132 #: licheepi.html:148 licheepi.html:164 licheepi.html:180 maix.html:110 #: maix.html:127 maix.html:143 maixsense.html:40 maixsense.html:56 -#: tang.html:129 tang.html:145 tang.html:161 tang.html:177 +#: tang.html:157 tang.html:173 tang.html:189 tang.html:205 msgid "文档" msgstr "" @@ -72,7 +72,7 @@ msgstr "" msgid "内存" msgstr "" -#: licheepi.html:52 maix.html:59 tang.html:89 +#: licheepi.html:52 maix.html:59 tang.html:105 msgid "储存" msgstr "" @@ -251,71 +251,75 @@ msgstr "" msgid "Tang 系列板卡参数对比" msgstr "" -#: tang.html:41 +#: tang.html:42 msgid "芯片" msgstr "" -#: tang.html:49 +#: tang.html:51 msgid "查找表(LUT)" msgstr "" -#: tang.html:57 +#: tang.html:60 msgid "寄存器(FF)" msgstr "" -#: tang.html:81 -msgid "内嵌处理器" -msgstr "" - -#: tang.html:90 tang.html:91 tang.html:92 tang.html:93 tang.html:94 -#: tang.html:98 tang.html:102 +#: tang.html:88 tang.html:90 tang.html:106 tang.html:107 tang.html:108 +#: tang.html:109 tang.html:110 tang.html:111 msgid "板载_fpga" msgstr "板载" -#: tang.html:92 tang.html:93 tang.html:99 tang.html:100 tang.html:101 +#: tang.html:91 tang.html:92 tang.html:93 tang.html:110 tang.html:111 msgid "内含" msgstr "" -#: tang.html:97 +#: tang.html:96 +msgid "内嵌处理器" +msgstr "" + +#: tang.html:114 msgid "其他特点" msgstr "" -#: tang.html:98 +#: tang.html:115 msgid "内含 12.5G Transceivers" msgstr "" -#: tang.html:98 +#: tang.html:115 msgid "支持 PCIE 通信" msgstr "" -#: tang.html:98 +#: tang.html:115 msgid "支持 SFP+ 数据传输" msgstr "" -#: tang.html:116 +#: tang.html:134 msgid "可以高速通信的大容量 FPGA 开发板" msgstr "" -#: tang.html:119 +#: tang.html:137 tang.html:147 msgid "敬请期待" msgstr "" -#: tang.html:126 +#: tang.html:144 +msgid "自由拓展的 FPGA 开发板" +msgstr "" + +#: tang.html:154 +msgid "自带多种外设接口的 FPGA 开发板" +msgstr "" + +#: tang.html:170 msgid "适用于模拟复古游戏机的 FPGA 开发板" msgstr "" -#: tang.html:142 +#: tang.html:186 msgid "适合 RiscV 软核验证的 FPGA 开发板" msgstr "" -#: tang.html:158 +#: tang.html:202 msgid "芯片内部含有 MCU 的 FPGA 开发板" msgstr "" -#: tang.html:174 -msgid "自带多种外设接口的 FPGA 开发板" -msgstr "" - #~ msgid "/static/home/licheepi4a_post.jpg" #~ msgstr "" @@ -349,3 +353,6 @@ msgstr "" #~ msgid "尽情期待" #~ msgstr "" +#~ msgid "Tang 系列主流板卡参数对比" +#~ msgstr "" + diff --git a/layout/tang.html b/layout/tang.html index 9851297fad..c2bac8010c 100644 --- a/layout/tang.html +++ b/layout/tang.html @@ -30,76 +30,94 @@

{{_('Tang 系列板卡参数对比')}}

{{_('参数')}} Mega 138K + Primer 25K + Primer 20K Nano 20K Nano 9K Nano 4K - Primer 20K {{_('芯片')}} GW5AST-138 + GW5A-25 + GW2A-18 GW2AR-18 GW1NR-9 GW1NSR-4C - GW2A-18 {{_('查找表(LUT)')}} 138240 + 23040 + 20736 20736 8640 4608 - 20736 {{_('寄存器(FF)')}} 138240 + 23040 + 15552 15552 6480 4608 - 15552 SSRAM(Kbits) 1080 + 180 + 41 41 17 - 41 BSRAM(Kbits) 6120 + 1008 + 828 828 468 180 - 828 - {{_('内嵌处理器')}} - RiscV AE350_SOC + RAM + {{_('板载_fpga')}} 4Gb DDR3 SDRAM * 2 + + {{_('板载_fpga')}} 1Gb DDR3 SDRAM + {{_('内含')}} 64Mb SDR SDRAM + {{_('内含')}} 64Mb PSRAM + {{_('内含')}} 64Mb HyperRAM + + + {{_('内嵌处理器')}} + RiscV AE350_SOC + - Cortex-M3 + Cortex-M3 - {{_('储存')}} - {{_('板载_fpga')}} 32Mb Flash * 2 - {{_('板载_fpga')}} 32Mb Flash - {{_('内含')}} 608Kb Flash
{{_('板载_fpga')}} 32Mb Flash - {{_('内含')}} 256Kb Flash
{{_('板载_fpga')}} 32Mb Flash - {{_('板载_fpga')}} 32Mb Flash + {{_('储存')}} + {{_('板载_fpga')}} 32Mb Flash * 2 + {{_('板载_fpga')}} 32Mb Flash + {{_('板载_fpga')}} 32Mb Flash + {{_('板载_fpga')}} 32Mb Flash + {{_('内含')}} 608Kb Flash
{{_('板载_fpga')}} 32Mb Flash + {{_('内含')}} 256Kb Flash
{{_('板载_fpga')}} 32Mb Flash {{_('其他特点')}} - {{_('板载_fpga')}} 4G bits DDR3 SDRAM * 2
{{_('内含 12.5G Transceivers')}}
{{_('支持 PCIE 通信')}}
{{_('支持 SFP+ 数据传输')}} - {{_('内含')}} 64M bits SDR SDRAM - {{_('内含')}} 64M bits PSRAM - {{_('内含')}} 64M bits HyperRAM - {{_('板载_fpga')}} 1G bits DDR3 SDRAM + {{_('内含 12.5G Transceivers')}}
{{_('支持 PCIE 通信')}}
{{_('支持 SFP+ 数据传输')}} + + + + + @@ -120,6 +138,32 @@

{{_('Tang 系列板卡参数对比')}}

} ], }, + { + img: "/hardware/assets/Tang/primer_25k/primer_25k.png", + title: "Tang Primer 25K", + brief: "{{_('自由拓展的 FPGA 开发板')}}", + btns: [ + { + label: "{{_('敬请期待')}}", + } + ], + }, + { + img: "/hardware/zh/tang/tang-primer-20k/assets/dock-up.png", + title: "Tang Primer 20K", + brief: "{{_('自带多种外设接口的 FPGA 开发板')}}", + btns: [ + { + label: "{{_('文档')}}", + link: "/hardware/zh/tang/tang-primer-20k/primer-20k.html", + }, + { + label: "{{_('购买')}}", + link: "https://item.taobao.com/item.htm?id=680099020807", + new_tab: true, + }, + ], + }, { img: "/hardware/zh/tang/tang-nano-20k/assets/nano_20k/tang_nano_20k_3920_top.png", title: "Tang Nano 20K", @@ -168,22 +212,6 @@

{{_('Tang 系列板卡参数对比')}}

}, ], }, - { - img: "/hardware/zh/tang/tang-primer-20k/assets/dock-up.png", - title: "Tang Primer 20K", - brief: "{{_('自带多种外设接口的 FPGA 开发板')}}", - btns: [ - { - label: "{{_('文档')}}", - link: "/hardware/zh/tang/tang-primer-20k/primer-20k.html", - }, - { - label: "{{_('购买')}}", - link: "https://item.taobao.com/item.htm?id=680099020807", - new_tab: true, - }, - ], - }, ], };