diff --git a/docs/hardware/en/tang/tang-mega-138k/assets/mega_138k_top.png b/docs/hardware/en/tang/tang-mega-138k/assets/mega_138k_top.png new file mode 100644 index 0000000000..c127d71e0e Binary files /dev/null and b/docs/hardware/en/tang/tang-mega-138k/assets/mega_138k_top.png differ diff --git a/docs/hardware/en/tang/tang-mega-138k/assets/tang_mega_138k_function_map.png b/docs/hardware/en/tang/tang-mega-138k/assets/tang_mega_138k_function_map.png new file mode 100644 index 0000000000..89d6b2286b Binary files /dev/null and b/docs/hardware/en/tang/tang-mega-138k/assets/tang_mega_138k_function_map.png differ diff --git a/docs/hardware/en/tang/tang-mega-138k/mega-138k.md b/docs/hardware/en/tang/tang-mega-138k/mega-138k.md new file mode 100644 index 0000000000..6fa8b4b1d1 --- /dev/null +++ b/docs/hardware/en/tang/tang-mega-138k/mega-138k.md @@ -0,0 +1,264 @@ +--- +title: Tang Mega 138K Pro Dock +keywords: FPGA, Tang, Mega, 138K +update: + - date: 2023-08-29 + version: v + author: wonder + content: + - 新建文档 +--- + +- Product Overview + + Tang Mega 138K uses a 22nm process GW5AST-LV138FPG676A FPGA chip, which has 138,240 lookup table units and nearly 300 DSP units. It contains eight high-speed transceivers with a speed range of 270Mbps ~ 12.5Gbps, suitable for transmitting data through high-speed ports such as fiber optics or PCIE. In addition, the chip contains a hard-core PCIE, which consumes better resources when using PCIE and achieves better performance. It is suitable for high-speed communication, protocol conversion, high-performance computing, and other occasions. + + Taobao purchase link: [Click me](https://item.taobao.com/item.htm?id=740536508140) + + ## Board Features + + - Large capacity LUT + - Large capacity memory + - PCIE3.0 x 4 + - SFP+ x 2 + - RISCV hard core + +## Product Appearance + + + +## Hardware Parameters + +### Core Board Parameters + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ItemParameterSupplement
FPGA ChipGW5AST-LV138FPG676A + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Logic Unit (LUT4)138240
Register (FF)138240
Distributed SRAM (S-SRAM) (Kbits)1080
Block SRAM (B-SRAM) (Kbits)6120
Number of Block SRAMs (B-SRAM) (pcs)340
Multiplier (18x18 Multiplier)298
Phase-Locked Loop (PLLs)12
Global Clock16
High-Speed Clock24
Transceivers8
Transceivers Rate270Mbps-12.5Gbps
PCIE Hard Core1
Speed optional x1, x2, x4, x8 PCIe 2.0
LVDS (Gbps)1.25
DDR3 (Mbps)1,333
MIPI D-PHY Hard Core2.5Gbps (RX),
8 data channels,
2 clock channels
Hard Core ProcessorRiscV AE350_SOC
ADC2
Total I/O Bank10
+
Memory1GB DDR3512MB x 2
Flash128Mbits Flash x 2See How to Burn to Flash
Debug InterfaceJtag + UartJST SH1.0 8Pins Connector
Overall Package50mm x 70mm SizeBTB Connector Connects the Core Board and the Base Board
+ +### Baseboard Parameters + +| Item | Quantity | Remarks | +| :------------------ | -------- | ------------------------------------------------- | +| LED | 6 | | +| WS2812 | 1 | | +| Button | 4 | | +| PCIE | 1 | | +| SFP+ | 2 | | +| Gigabit Ethernet | 1 | | +| DVI RX | 2 | Mutually occupied with DVI TX | +| DVI TX | 2 | Mutually occupied with DVI RX | +| PMOD | 3 | | +| ADC | 2 | | +| MIPI CSI | 2 | 3 LANE MIPI CSI | +| ARGB | 1 | Same data pin with WS2812 | +| DVP Interface | 1 | | +| RGB Interface | 1 | Supports RGB888 screen | +| MIC ARRAY Interface | 1 | Supports connecting Sipeed 6+1 microphone array | +| SD Card Slot | 1 | | +| EEPROM | 1 | Can store necessary information | +| M.2 Socket | 1 | Reserved, can write peripheral driver yourself | +| PWM Fan Interface | 1 | | +| Speaker Interface | 1 | | +| 3.5mm Headphone Jack| 1 | | +| Custom USB | 1 | Cannot power the board | +| MS5351 | 2 | Provides RefClk for Serdes; control output via onboard UART | +| USB JTAG&UART | 1 | Supports FPGA programming and provides UART function | +| 40P Pin Header | 1 | | +| Power Switch | 1 | | +| 12V DC | 1 | | + +## Hardware Resources + +[Board Specification](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/01_Specification) +[Board Schematic](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/02_Schematic) +[PCB BOM](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/03_Designator_drawing) +[Board Dimension Diagram](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/04_Mechanical_drawing) +[Board 3D Model](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/05_3D_file) +[Some Chip Manuals](https://dl.sipeed.com/shareURL/TANG/Mega_138K_Pro/07_Datasheet) + +## Getting Started + +Note that 138K is currently not supported by the education version, and you need to download V1.9.9Beta-5 or a newer version of the commercial IDE for use. +Lic can be applied on the Gowin official website, or you can use the online Lic service provided by Sipeed. In the IDE, select Float Lic and fill in the following information: + +~~~ +ip: 43.128.7.128 +port: 10559 +~~~ + +Install IDE [Click me](https://wiki.sipeed.com/hardware/zh/tang/Tang-Nano-Doc/get_started/install-the-ide.html) + + +Example code [github](https://github.com/sipeed/TangMega-138KPro-example) + +- Other Learning Resources + + - Free online tutorial: [Verilog Tutorial](https://www.runoob.com/w3cnote/verilog-tutorial.html) (Learn Verilog) + - Free online FPGA tutorial: [Verilog](https://www.asic-world.com/verilog/index.html) (English website) + - Verilog practice website: [HDLBits](https://hdlbits.01xz.net/wiki/Main_Page) (English website) + - Online Gowin Semiconductor reference video tutorials: [Click here](http://www.gowinsemi.com.cn/video_complex.aspx?FId=n15:15:26) + + ## Communication Methods + + - **Discussion forum: [maixhub.com/discussion](https://maixhub.com/discussion)** + - **QQ discussion group: [834585530](https://jq.qq.com/?_wv=1027&k=wBb8XUan)** + - Leave a message directly below this page + - Business email : [support@sipeed.com](support@sipeed.com) + +## Precautions + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ItemPrecautions
Chip ModelThe specific model of the FPGA chip used by Tang Mega 138K Pro is GW5AST-LV138FPG676A. Please select the package model FCPBG676A in the IDE.
Static ElectricityPlease avoid static electricity hitting the PCBA; release the static electricity from your hands before touching the PCBA.
Tolerance VoltageWhen using GPIO pin headers for external communication, ensure that the IO voltage is 3.3V. Excessive voltage will permanently damage the PCBA.
FPC SocketWhen connecting the FPC soft cable, please ensure that the cable is completely and correctly inserted into the socket without any deviation.
PCIE Gold FingerWhen testing the PCIE gold finger, ensure that both the host and the board are in the off or unpowered state to avoid short-circuiting the gold finger due to displacement during the insertion process.
Plug and UnplugPlease completely power off before plugging and unplugging.
Avoid Short CircuitPlease avoid any liquid or metal touching the solder pads of the components on the PCBA during the power-on process, otherwise it may cause a short circuit and burn the PCBA.
+ + +## Contact + +Tang Mega 138K can meet different needs of customers in various scenarios. For technical support and business cooperation, please contact [support@sipeed.com](support@sipeed.com) + +## Frequently Asked Questions + +### The power light is not on after the board is powered on + +1. Please check if the power switch of the board is turned on. +2. Check your power supply method. diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/25k_45.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/25k_45.jpg new file mode 100644 index 0000000000..e777927762 Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/25k_45.jpg differ diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/25k_bot.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/25k_bot.jpg new file mode 100644 index 0000000000..234798077b Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/25k_bot.jpg differ diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_45.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_45.jpg new file mode 100644 index 0000000000..f085edca06 Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_45.jpg differ diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_bot.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_bot.jpg new file mode 100644 index 0000000000..ea6a15a7b2 Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_bot.jpg differ diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_top.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_top.jpg new file mode 100644 index 0000000000..aad087a2a1 Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/25k_dock_top.jpg differ diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/25k_top.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/25k_top.jpg new file mode 100644 index 0000000000..097a5eea69 Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/25k_top.jpg differ diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/flash_mode.png b/docs/hardware/en/tang/tang-primer-25k/assets/flash_mode.png new file mode 100644 index 0000000000..91fcf54f14 Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/flash_mode.png differ diff --git a/docs/hardware/en/tang/tang-primer-25k/assets/partno.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/partno.jpg new file mode 100644 index 0000000000..1426d3c412 Binary files /dev/null and b/docs/hardware/en/tang/tang-primer-25k/assets/partno.jpg differ diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/cleam.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/cleam.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/cleam.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/cleam.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/clean_core_board.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/clean_core_board.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/clean_core_board.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/clean_core_board.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/dock-version.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/dock-version.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/dock-version.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/dock-version.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/edge_view.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/edge_view.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/edge_view.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/edge_view.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/educational_edition_programmer.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/educational_edition_programmer.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/educational_edition_programmer.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/educational_edition_programmer.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/lite-version.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/lite-version.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/lite-version.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/lite-version.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/progress_bar.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/start/progress_bar.jpg similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/progress_bar.jpg rename to docs/hardware/en/tang/tang-primer-25k/assets/start/progress_bar.jpg diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/progress_bar_finishing.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/start/progress_bar_finishing.jpg similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/progress_bar_finishing.jpg rename to docs/hardware/en/tang/tang-primer-25k/assets/start/progress_bar_finishing.jpg diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/progress_bar_running.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/start/progress_bar_running.jpg similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/progress_bar_running.jpg rename to docs/hardware/en/tang/tang-primer-25k/assets/start/progress_bar_running.jpg diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/reset_led_on.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/reset_led_on.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/reset_led_on.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/reset_led_on.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/switch_1_off.jpg b/docs/hardware/en/tang/tang-primer-25k/assets/start/switch_1_off.jpg similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/switch_1_off.jpg rename to docs/hardware/en/tang/tang-primer-25k/assets/start/switch_1_off.jpg diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/switch_1_on.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/switch_1_on.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/switch_1_on.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/switch_1_on.png diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/start/top_view.png b/docs/hardware/en/tang/tang-primer-25k/assets/start/top_view.png similarity index 100% rename from docs/hardware/zh/tang/tang-primer-25k/assets/start/top_view.png rename to docs/hardware/en/tang/tang-primer-25k/assets/start/top_view.png diff --git a/docs/hardware/en/tang/tang-primer-25k/primer-25k.md b/docs/hardware/en/tang/tang-primer-25k/primer-25k.md new file mode 100644 index 0000000000..359ce0560e --- /dev/null +++ b/docs/hardware/en/tang/tang-primer-25k/primer-25k.md @@ -0,0 +1,106 @@ +# Tang Primer 25K + +## Overview + +Tang Primer 25K is a minuscule core board (23x18mm) designed based on [GW5A-LV25MG121](http://www.gowinsemi.com.cn/prod_view.aspx?TypeId=74&FId=t3:10:3&Id=188), accompanied by a 25K Dock base board that exposes all pins (excluding MIPI high-speed pins). + +The ultra-small core board size can be applied in any volume-restricted scenarios. +The simple base board can connect a USB joystick, plug in a 40Pin SDRAM module, and three PMOD interfaces can connect to an HDMI display, PS2 joystick to form a typical RetroGame console configuration. +It can also be paired with the series of PMOD modules produced by Sipeed, for use in FPGA university teaching. + +
+ +Purchase link: [Taobao](https://item.taobao.com/item.htm?spm=a1z10.5-c-s.w4002-24984936573.29.19b22db2a329yr&id=746293292946) + +## Core Board Overview + +
+ +## Basic Parameters + +
Item Parameter Supplement
FPGA Chip GW5A-LV25MG121
Logic Unit (LUT4) 23040
Register (FF) 23040
Distributed Static Random Access Memory S-SRAM (bits) 180K
Block Static Random Access Memory B-SRAM (bits) 1008K
Number of Block Static Random Access Memory B-SRAM 56
Multiplier (18x18 Multiplier) 28
Phase-Locked Loop (PLLs) 6
Total I/O Bank 8
Flash 64Mbits NOR Flash See Burning to Flash
Overall Packaging 2x60P BTB Core Board
General IO 75
MIPI IO 4lane Data
+ +## Dock Base Board Product Image + +
+ +## Board Parameters + +
Item Parameter Remark
Debugger Onboard high-speed debugger, supports JTAG+UART, uses USB-C port for programming
USB-A One, can be used as a USB1.1 Host to connect game controllers and other HID devices
IO Pin One 2x20Pin 2.54 pin Supports SDRAM module
PMOD 3
Button 2
Size 64x40mm
+ +## Hardware Information + +Specifications, schematics, dimension drawings, etc. can be found here: [Click here](https://dl.sipeed.com/shareURL/TANG/Primer_25K) + +- [Board Specification](https://dl.sipeed.com/shareURL/TANG/Primer_25K/01_Specification) +- [Board Schematic](https://dl.sipeed.com/shareURL/TANG/Primer_25K/02_Schematic) +- [Board Designator Drawing](https://dl.sipeed.com/shareURL/TANG/Primer_25K/03_Designator_drawing) +- [Board Dimension Drawing](https://dl.sipeed.com/shareURL/TANG/Primer_25K/04_Mechanical_drawing) +- [3D Model File](https://dl.sipeed.com/shareURL/TANG/Primer_25K/05_3D_file) +- [Core Board Packaging](https://dl.sipeed.com/shareURL/TANG/Primer_25K/06_PCB_Lib) +- [Chip Part Information](https://dl.sipeed.com/shareURL/TANG/Primer_25K/07_Datasheet) +- [Routing Length Table](https://dl.sipeed.com/shareURL/TANG/Primer_25K/08_Pin_Length_table) + +3. Getting Started + + `Prepare Development Environment` -> `Learn Relevant Syntax` -> `View Unboxing Guide` -> `Basic Code Writing` -> `View Official Documentation` + + 1. Install IDE: [Click here](./../Tang-Nano-Doc/get_started/install-the-ide.md) + + 2. Check out the [Getting Started Guide](https://wiki.sipeed.com/hardware/zh/tang/tang-primer-20k/start.html) to avoid some problems, and you can start coding from there. + + 3. If you feel pressured after completing the above lighting operation, you can fill in the gaps yourself: + You can learn Verilog on the following websites: + + + Online free tutorial: [Verilog Tutorial](https://www.runoob.com/w3cnote/verilog-tutorial.html) (Learn Verilog) + + Online free FPGA tutorial: [Verilog](https://www.asic-world.com/verilog/index.html) (English website) + + Verilog problem-solving website: [HDLBits](https://hdlbits.01xz.net/wiki/Main_Page) (English website) + + Online Gowin Semiconductor reference video tutorial: [Click here](http://www.gowinsemi.com.cn/video_complex.aspx?FId=n15:15:26) + + If you have questions about using the IDE, you can check out some official documents to familiarize yourself with the relevant content + + - [SUG100-2.6_Gowin Cloud Source Software User Guide.pdf](http://cdn.gowinsemi.com.cn/SUG100-2.6_Gowin%E4%BA%91%E6%BA%90%E8%BD%AF%E4%BB%B6%E7%94%A8%E6%88%B7%E6%8C%87%E5%8D%97.pdf) + - [SUG949-1.1_Gowin_HDL Coding Style User Guide.pdf](http://cdn.gowinsemi.com.cn/SUG949-1.1_Gowin_HDL%E7%BC%96%E7%A0%81%E9%A3%8E%E6%A0%BC%E7%94%A8%E6%88%B7%E6%8C%87%E5%8D%97.pdf) + - [UG286-1.9.1_Gowin Clock Resource User Guide](http://cdn.gowinsemi.com.cn/UG286-1.9.1_Gowin%E6%97%B6%E9%92%9F%E8%B5%84%E6%BA%90(Clock)%E7%94%A8%E6%88%B7%E6%8C%87%E5%8D%97.pdf) + - [SUG940-1.3_Gowin Design Timing Constraint User Guide.pdf](http://cdn.gowinsemi.com.cn/SUG940-1.3_Gowin%E8%AE%BE%E8%AE%A1%E6%97%B6%E5%BA%8F%E7%BA%A6%E6%9D%9F%E7%94%A8%E6%88%B7%E6%8C%87%E5%8D%97.pdf) + - [SUG502-1.3_Gowin_Programmer User Guide.pdf](http://cdn.gowinsemi.com.cn/SUG502-1.3_Gowin_Programmer%E7%94%A8%E6%88%B7%E6%8C%87%E5%8D%97.pdf) + - [SUG114-2.5_Gowin Online Logic Analyzer User Guide.pdf](http://cdn.gowinsemi.com.cn/SUG114-2.5_Gowin%E5%9C%A8%E7%BA%BF%E9%80%BB%E8%BE%91%E5%88%86%E6%9E%90%E4%BB%AA%E7%94%A8%E6%88%B7%E6%8C%87%E5%8D%97.pdf) + + All the above documents have been packaged into the download station [click me to jump](https://dl.sipeed.com/shareURL/TANG/Primer_20K/07_Chip_manual/CN/%E9%80%9A%E7%94%A8%E6%8C%87%E5%BC%95), if needed, you can click the compressed package to download them all. + +- Example Summary + + Please note that 25K requires the use of V1.9.9Beta-4 or newer IDE version. + http://www.gowinsemi.com.cn/faq.aspx + + ### Public Examples + + Github link: https://github.com/sipeed/TangPrimer-25K-example + + + ## Communication Methods + + - **Discussion Forum: [maixhub.com](maixhub.com/discussion)** + - **QQ Discussion Group: [834585530](https://jq.qq.com/?_wv=1027&k=wBb8XUan)** + - Leave a message directly below this page + - Business email: [support@sipeed.com](support@sipeed.com) + + + +## Related Questions + +### How to Download to External FLASH {#burn_flash} + +Set the following options: + +flash_mode + +### No Response or Incorrect Pin Phenomenon After Burning + +First, make sure the correct model is selected, each parameter in the figure below is required to be consistent + +device_choose + +Then check whether your code and the corresponding simulation waveform meet the requirements + +### For more questions and solutions, go to [Related Questions](./../Tang-Nano-Doc/questions.md) to view diff --git a/docs/hardware/zh/tang/tang-primer-25k/assets/flash_mode.png b/docs/hardware/zh/tang/tang-primer-25k/assets/flash_mode.png new file mode 100644 index 0000000000..91fcf54f14 Binary files /dev/null and b/docs/hardware/zh/tang/tang-primer-25k/assets/flash_mode.png differ diff --git a/docs/hardware/zh/tang/tang-primer-25k/primer-25k.md b/docs/hardware/zh/tang/tang-primer-25k/primer-25k.md index dcb3e8d102..88f0127580 100644 --- a/docs/hardware/zh/tang/tang-primer-25k/primer-25k.md +++ b/docs/hardware/zh/tang/tang-primer-25k/primer-25k.md @@ -14,7 +14,7 @@ Tang Primer 25K 是基于 [GW5A-LV25MG121](http://www.gowinsemi.com.cn/prod_view -购买链接:[淘宝](https://item.taobao.com/item.htm?&id=xxx) +购买链接:[淘宝](https://item.taobao.com/item.htm?spm=a1z10.5-c-s.w4002-24984936573.29.19b22db2a329yr&id=746293292946) @@ -172,7 +172,7 @@ Tang Primer 25K 是基于 [GW5A-LV25MG121](http://www.gowinsemi.com.cn/prod_view ## 上手简明 `准备开发环境` -> `学习相关语法` -> `查看开箱指南` -> `基础代码编写` -> `查看官方文档` - + 1. 安装 IDE :[点击这里](./../Tang-Nano-Doc/get_started/install-the-ide.md) 2. 查看 [上手指南](https://wiki.sipeed.com/hardware/zh/tang/tang-primer-20k/start.html) 来避免一些问题,并且从那里面可以开始进行代码实战。