{"payload":{"pageCount":4,"repositories":[{"type":"Public","name":"icestudio","owner":"FPGAwars","isFork":false,"description":"❄️ Visual editor for open FPGA boards","allTopics":["javascript","editor","fpga","ide","blocks","verilog","icestorm","lattice","icestudio"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":4,"issueCount":102,"starsCount":1678,"forksCount":243,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-21T14:19:48.640Z"}},{"type":"Public","name":"iceRok","owner":"FPGAwars","isFork":false,"description":"Block probes for Icestudio => Sigrok integration (with Pulseview GUI)","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":6,"starsCount":32,"forksCount":0,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-07T18:05:08.078Z"}},{"type":"Public","name":"iceMem","owner":"FPGAwars","isFork":false,"description":"Icestudio Collection for working with Memories","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":1,"starsCount":10,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-07T17:50:32.976Z"}},{"type":"Public","name":"iceMux","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with muxes and demuxes","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-07T09:54:53.108Z"}},{"type":"Public","name":"iceBoards","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with blocks and examples for the diferent FPGA boards","allTopics":["collection","fpga","examples","electronics","icestudio","boards","fpgawars"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":1,"starsCount":0,"forksCount":1,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-07-03T15:03:36.391Z"}},{"type":"Public","name":"iceArith","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for Arithmetics","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":2,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-29T09:57:00.169Z"}},{"type":"Public","name":"AP-LED8-THT","owner":"FPGAwars","isFork":false,"description":"Alhambra Peripheral board with 8 through-hole LEDs","allTopics":[],"primaryLanguage":{"name":"HTML","color":"#e34c26"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":0,"license":"Other","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-29T07:24:47.723Z"}},{"type":"Public","name":"icm","owner":"FPGAwars","isFork":false,"description":"❄️ Icestudio collections manager","allTopics":["python","cli","collections","icestudio"],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":2,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-29T06:35:26.036Z"}},{"type":"Public","name":"ice-chips-verilog","owner":"FPGAwars","isFork":true,"description":"IceChips is a library of all common discrete logic devices in Verilog","allTopics":[],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":20,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T17:55:31.259Z"}},{"type":"Public","name":"icecrystal","owner":"FPGAwars","isFork":true,"description":"Icestudio Collection to drive displays from Open Source FPGAs","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":7,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T17:30:00.771Z"}},{"type":"Public","name":"Icestudio-ArithmeticBlocks","owner":"FPGAwars","isFork":true,"description":"Icestudio .ice blocks for FPGA signed and unsigned integer operations, 16, 24 and 32 bits, + - * / sqrt min max compare etc. ","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":1,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T17:09:31.839Z"}},{"type":"Public","name":"collection-generic","owner":"FPGAwars","isFork":false,"description":"❄️ Icestudio Generic Collection","allTopics":["collection","generic","icestudio"],"primaryLanguage":{"name":"TeX","color":"#3D6117"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":1,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T17:07:08.314Z"}},{"type":"Public","name":"CT11-collection","owner":"FPGAwars","isFork":false,"description":"Colección con los ejemplos del cuaderno ténico 11: Señales del sistema y Medición con el LEDOscopio","allTopics":["collection","fpga","icestudio","ice40","alhambra-ii"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T17:02:43.929Z"}},{"type":"Public","name":"iceSynth-collection","owner":"FPGAwars","isFork":false,"description":"Icestudio blocks and examples for audio synthesis","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T16:52:09.374Z"}},{"type":"Public","name":"icebreaker-collection","owner":"FPGAwars","isFork":false,"description":"Icestudio Blocks and examples for the icebreaker OpenFPGA board","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T11:29:40.264Z"}},{"type":"Public","name":"collection-logic","owner":"FPGAwars","isFork":false,"description":"❄️ Icestudio Logic Collection","allTopics":["collection","logic","icestudio"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":0,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T11:21:25.816Z"}},{"type":"Public","name":"Collection-Jedi","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with the blocks of the FPGA Jedi hardware Academy","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":3,"starsCount":36,"forksCount":18,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T11:09:41.306Z"}},{"type":"Public","name":"LOVE-FPGA-Collection","owner":"FPGAwars","isFork":false,"description":"Collection of hardware elements and examples for the LOVE-FPGA project (Linking Of Virtual Electronics to FPGAs)","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":6,"forksCount":2,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T10:39:36.014Z"}},{"type":"Public","name":"Collection-stdio","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for standard Input-Output in different devices","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":14,"forksCount":1,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T10:34:45.705Z"}},{"type":"Public","name":"iceMeasure","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for measuring cycles and time in your circuits, very easily","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T10:19:25.618Z"}},{"type":"Public","name":"iceCounter","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for counters","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T09:38:34.494Z"}},{"type":"Public","name":"iceGates","owner":"FPGAwars","isFork":false,"description":"Icestudio collection with logic gates","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":1,"forksCount":0,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-28T09:25:59.108Z"}},{"type":"Public","name":"apio","owner":"FPGAwars","isFork":false,"description":"🌱 Open source ecosystem for open FPGA boards","allTopics":["python","cli","package","fpga","manager","verilog","icestorm","lattice","apio"],"primaryLanguage":{"name":"Verilog","color":"#b2b7f8"},"pullRequestCount":1,"issueCount":36,"starsCount":782,"forksCount":132,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-24T00:11:42.657Z"}},{"type":"Public","name":"icestudio-wiki","owner":"FPGAwars","isFork":false,"description":"Images and resources used in the Icestudio Wiki","allTopics":[],"primaryLanguage":{"name":"Python","color":"#3572A5"},"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-21T12:01:16.344Z"}},{"type":"Public","name":"collection-default","owner":"FPGAwars","isFork":false,"description":"❄️ Icestudio Default Collection","allTopics":["collection","icestudio","default"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":4,"forksCount":4,"license":"GNU General Public License v2.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-06-14T18:20:35.448Z"}},{"type":"Public","name":"iceStack","owner":"FPGAwars","isFork":false,"description":" Icestudio Collection with stacks","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-30T08:44:40.019Z"}},{"type":"Public","name":"iceSerial","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for Serial Asynchronous communications","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-29T11:14:52.185Z"}},{"type":"Public","name":"iceMachines","owner":"FPGAwars","isFork":false,"description":"Icestudio collection for working with Machines (simple state machines with a standar interface)","allTopics":[],"primaryLanguage":null,"pullRequestCount":0,"issueCount":0,"starsCount":2,"forksCount":1,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-29T10:43:47.280Z"}},{"type":"Public","name":"iceLeds","owner":"FPGAwars","isFork":false,"description":"iceLeds collection: Using LEDs","allTopics":[],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":0,"starsCount":0,"forksCount":0,"license":"GNU Lesser General Public License v2.1","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T18:36:21.459Z"}},{"type":"Public","name":"icePLL","owner":"FPGAwars","isFork":false,"description":"PLL collection for IceStudio","allTopics":["fpga","icestudio","fpgawars","pll"],"primaryLanguage":{"name":"JavaScript","color":"#f1e05a"},"pullRequestCount":0,"issueCount":1,"starsCount":2,"forksCount":2,"license":"GNU General Public License v3.0","participation":null,"lastUpdated":{"hasBeenPushedTo":true,"timestamp":"2024-05-28T18:09:00.235Z"}}],"repositoryCount":99,"userInfo":null,"searchable":true,"definitions":[],"typeFilters":[{"id":"all","text":"All"},{"id":"public","text":"Public"},{"id":"source","text":"Sources"},{"id":"fork","text":"Forks"},{"id":"archived","text":"Archived"},{"id":"template","text":"Templates"}],"compactMode":false},"title":"FPGAwars repositories"}