diff --git a/.github/workflows/scala.yml b/.github/workflows/scala.yml index 2483ca3..7b81902 100644 --- a/.github/workflows/scala.yml +++ b/.github/workflows/scala.yml @@ -21,5 +21,7 @@ jobs: with: java-version: '11' distribution: 'temurin' + - name: build simulator + run: make init - name: Run tests run: sbt test diff --git a/Makefile b/Makefile index e7ab82e..552e119 100644 --- a/Makefile +++ b/Makefile @@ -4,6 +4,11 @@ # including cosimulation and check against Morten's simulator # TODO: cleanup +# init submodules and build leros-sim +init: + git submodule update --init --recursive + cd leros-sim && ./build.sh + # runs all tests test: sbt test diff --git a/src/test/scala/leros/CompareTest.scala b/src/test/scala/leros/CompareTest.scala index dd155f3..7acf37c 100644 --- a/src/test/scala/leros/CompareTest.scala +++ b/src/test/scala/leros/CompareTest.scala @@ -17,7 +17,7 @@ import scala.collection.mutable.ListBuffer class CompareTest extends AnyFlatSpec with ChiselScalatestTester { - val simulator = "../leros-sim/build-leros-sim/leros-sim" + val simulator = "leros-sim/build-leros-sim/leros-sim" if ((new java.io.File(simulator)).isFile) { val progs = leros.shared.Util.getProgs()