From a3b0e4ac31fe258056285d95e26b7f0d6d93d1cb Mon Sep 17 00:00:00 2001 From: Tim Callahan Date: Tue, 9 Jan 2024 17:43:06 -0800 Subject: [PATCH] Pin Yosys to 0.29 as workaround for Nexus flow (Yosys #4081). Signed-off-by: Tim Callahan --- conf/environment-symbiflow.yml | 3 ++- conf/environment.yml | 3 ++- 2 files changed, 4 insertions(+), 2 deletions(-) diff --git a/conf/environment-symbiflow.yml b/conf/environment-symbiflow.yml index ca2f3b706..f5856e70d 100644 --- a/conf/environment-symbiflow.yml +++ b/conf/environment-symbiflow.yml @@ -13,7 +13,8 @@ dependencies: - litex-hub::nextpnr-ecp5 - litex-hub::nextpnr-ice40 # Temporarily pin Yosys until symbiflow-yosys-plugins catches up - - litex-hub::yosys=0.33_11_g31ee566ec=20230724_080446_py37 +# AND https://github.com/YosysHQ/yosys/issues/4081 is resolved + - litex-hub::yosys=0.29_35_g57c9eb70f=20230524_010725_py37 - litex-hub::iceprog - litex-hub::prjxray-tools - litex-hub::prjxray-db diff --git a/conf/environment.yml b/conf/environment.yml index b725ed6a6..2da5dfc91 100644 --- a/conf/environment.yml +++ b/conf/environment.yml @@ -13,7 +13,8 @@ dependencies: - litex-hub::nextpnr-ice40 - litex-hub::iceprog # Temporarily pin Yosys until symbiflow-yosys-plugins catches up - - litex-hub::yosys=0.33_11_g31ee566ec=20230724_080446_py37 +# AND https://github.com/YosysHQ/yosys/issues/4081 is resolved + - litex-hub::yosys=0.29_35_g57c9eb70f=20230524_010725_py37 - litex-hub::symbiflow-yosys-plugins - libevent - json-c