Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

how to configure to support "go to definition" on vscode? #180

Open
songzenghui opened this issue Dec 11, 2022 · 1 comment
Open

how to configure to support "go to definition" on vscode? #180

songzenghui opened this issue Dec 11, 2022 · 1 comment

Comments

@songzenghui
Copy link

In my env.sv , I want to jump by clicking the left mouse button.
For example, env.sv :

class my_env extends uvm_env;

   my_agent   i_agt;
   my_agent   o_agt;
   my_model   mdl;
   my_scoreboard scb;
endclass

I can go to my_agent.sv or uvm basic class uvm_env, by click the my_agent or uvm_env symbol.
But now it do not work.

@DaveMcEwan
Copy link
Contributor

@songzenghui That is not intended functionality. See #1, #58, #89.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants