From fedc917cab7d26245285cad2c3f4f62c9ae590bb Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Tue, 23 Apr 2024 14:22:13 +0300 Subject: [PATCH] =?UTF-8?q?=D0=9B=D0=A07.=20=D0=98=D1=81=D0=BF=D1=80=D0=B0?= =?UTF-8?q?=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=B0=D0=B4=D1=80=D0=B5?= =?UTF-8?q?=D1=81=D0=B0=D1=86=D0=B8=D0=B8=20=D0=B2=20ext=5Fmem?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/Made-up modules/lab_07.ext_mem.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Labs/Made-up modules/lab_07.ext_mem.sv b/Labs/Made-up modules/lab_07.ext_mem.sv index 4483464e..f7f16e75 100644 --- a/Labs/Made-up modules/lab_07.ext_mem.sv +++ b/Labs/Made-up modules/lab_07.ext_mem.sv @@ -34,7 +34,7 @@ assign ready_o = 1'b1; logic [31:0] RAM [2**12]; logic [31:0] addr; -assign addr = addr_i >> 2; +assign addr = addr_i; always_ff@(posedge clk_i) begin case(1)