From b58325fce2978ef01cc5a07211d733d353f279f9 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Fri, 13 Oct 2023 12:54:27 +0300 Subject: [PATCH] =?UTF-8?q?=D0=9B=D0=A06.=20=D0=A3=D0=B4=D0=B0=D0=BB=D0=B5?= =?UTF-8?q?=D0=BD=D0=B8=D0=B5=20=D1=83=D0=BF=D0=BE=D0=BC=D0=B8=D0=BD=D0=B0?= =?UTF-8?q?=D0=BD=D0=B8=D1=8F=20=D0=BE=20=D0=B8=D0=BD=D0=B8=D1=86=D0=B8?= =?UTF-8?q?=D0=B0=D0=BB=D0=B8=D0=B7=D0=B0=D1=86=D0=B8=D0=B8=20=D0=BF=D0=B0?= =?UTF-8?q?=D0=BC=D1=8F=D1=82=D0=B8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Поскольку память теперь 32-разрядная, этот раздел не актуален. --- Labs/06. Datapath/README.md | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Labs/06. Datapath/README.md b/Labs/06. Datapath/README.md index 70dfb7f8..066db62c 100644 --- a/Labs/06. Datapath/README.md +++ b/Labs/06. Datapath/README.md @@ -75,6 +75,7 @@ endmodule Реализовать ядро процессора `riscv_core` архитектуры RISC-V по предложенной микроархитектуре. Подключить к нему память инструкций и память данных в модуле `riscv_unit`. Проверить работу процессора с помощью программы, написанной на ассемблере RISC-V по индивидуальному заданию, которое использовалось для написания программы для процессора архитектуры CYBERcobra. + Напишем простую программу, которая использует все типы инструкций для проверки нашего процессора. Сначала напишем программу на ассемблере: ```assembly