From b352ec6627a88d82c39f8b657b9e3e93649ac683 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sat, 11 May 2024 12:39:25 +0300 Subject: [PATCH] =?UTF-8?q?=D0=9B=D0=A013.=20=D0=98=D1=81=D0=BF=D1=80?= =?UTF-8?q?=D0=B0=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=B8=D0=BC=D0=B5?= =?UTF-8?q?=D0=BD=20=D0=B8=D0=BD=D0=B8=D1=86=D0=B8=D0=B0=D0=BB=D0=B8=D0=B7?= =?UTF-8?q?=D0=B8=D1=80=D1=83=D1=8E=D1=89=D0=B8=D1=85=20=D1=84=D0=B0=D0=B9?= =?UTF-8?q?=D0=BB=D0=BE=D0=B2=20vga?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../13. Peripheral units/peripheral modules/vgachargen.sv | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Labs/13. Peripheral units/peripheral modules/vgachargen.sv b/Labs/13. Peripheral units/peripheral modules/vgachargen.sv index b1cfe6f8..7a134af7 100644 --- a/Labs/13. Peripheral units/peripheral modules/vgachargen.sv +++ b/Labs/13. Peripheral units/peripheral modules/vgachargen.sv @@ -12,13 +12,13 @@ module vgachargen import vgachargen_pkg::*; #( parameter int unsigned CLK_FACTOR_25M = 100 / 25, - parameter CH_T_RO_INIT_FILE_NAME = "lab13_vga_ch_t_ro.mem", + parameter CH_T_RO_INIT_FILE_NAME = "lab_13_vga_ch_t_ro.mem", parameter bit CH_T_RO_INIT_FILE_IS_BIN = 1, - parameter CH_T_RW_INIT_FILE_NAME = "lab13_vga_ch_t_rw.mem", + parameter CH_T_RW_INIT_FILE_NAME = "lab_13_vga_ch_t_rw.mem", parameter bit CH_T_RW_INIT_FILE_IS_BIN = 1, - parameter CH_MAP_INIT_FILE_NAME = "lab13_vga_ch_map.mem", + parameter CH_MAP_INIT_FILE_NAME = "lab_13_vga_ch_map.mem", parameter bit CH_MAP_INIT_FILE_IS_BIN = 0, - parameter COL_MAP_INIT_FILE_NAME = "lab13_vga_col_map.mem", + parameter COL_MAP_INIT_FILE_NAME = "lab_13_vga_col_map.mem", parameter bit COL_MAP_INIT_FILE_IS_BIN = 0 ) ( input logic clk_i, // системный синхроимпульс