diff --git a/Labs/05. Main decoder/lab_05.tb_decoder.sv b/Labs/05. Main decoder/lab_05.tb_decoder.sv index b7da370..2019d0b 100644 --- a/Labs/05. Main decoder/lab_05.tb_decoder.sv +++ b/Labs/05. Main decoder/lab_05.tb_decoder.sv @@ -138,7 +138,7 @@ module lab_05_tb_decoder(); $display("\nTest has been finished\nNumber of errors: %d\n", err_count); $finish(); #5; - $display("You're trying to run simulation that has finished. Aborting simulation.") + $display("You're trying to run simulation that has finished. Aborting simulation."); $fatal(); end