From 2e224b31138dc0a6e41bed571201c664f5b6e5ea Mon Sep 17 00:00:00 2001 From: Nekkit6 Date: Sat, 16 Sep 2023 12:48:35 +0300 Subject: [PATCH 01/35] =?UTF-8?q?=D0=9F=D1=80=D0=B0=D0=B2=D0=BA=D0=B8=20?= =?UTF-8?q?=D1=82=D0=B1=20=D0=BF=D0=B0=D0=BC=D1=8F=D1=82=D0=B8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/03. Register file and memory/program.txt | 2048 ++-- .../tb_data_mem.sv | 52 +- .../tb_instr_mem.sv | 8340 +---------------- 3 files changed, 1087 insertions(+), 9353 deletions(-) diff --git a/Labs/03. Register file and memory/program.txt b/Labs/03. Register file and memory/program.txt index 402227d7..b45a373e 100644 --- a/Labs/03. Register file and memory/program.txt +++ b/Labs/03. Register file and memory/program.txt @@ -1,1024 +1,1024 @@ -52 -60 -b0 -46 -30 -17 -7e -9f -4c -7e -61 -9f -ae -95 -82 -26 -28 -5a -e9 -80 -d6 -a0 -91 -92 -ac -df -34 -37 -de -9c -2b -a0 -18 -03 -27 -bf -76 -59 -9f -69 -05 -24 -0d -f9 -ec -a7 -b1 -16 -f1 -f8 -95 -e3 -35 -c8 -9f -77 -82 -65 -df -ae -12 -cd -2b -97 -cd -da -dd -2f -d5 -66 -9b -77 -f9 -c0 -9d -7d -27 -db -a4 -1f -ab -92 -0c -40 -6f -01 -8d -76 -47 -35 -5d -0b -79 -f2 -37 -78 -b7 -6c -4f -91 -18 -9f -a2 -4c -b4 -6e -14 -da -90 -09 -d2 -f9 -87 -5c -96 -db -bc -39 -b7 -5f -13 -d8 -fc -8a -af -0e -53 -68 -78 -19 -f2 -f4 -61 -de -bd -44 -9d -25 -ae -37 -d1 -23 -ca -d8 -52 -e1 -35 -31 -09 -55 -b2 -3a -f8 -6e -64 -fc -ea -5f -58 -47 -26 -d8 -73 -62 -ac -f7 -ab -32 -51 -d1 -3c -b2 -28 -70 -d0 -c3 -2f -85 -a2 -97 -5d -94 -a9 -27 -a0 -31 -f4 -4e -55 -78 -78 -28 -11 -78 -f7 -db -ea -33 -c4 -03 -05 -78 -ab -02 -70 -91 -e4 -be -fa -43 -4d -19 -98 -ad -c1 -1e -8c -d3 -82 -c1 -95 -a6 -7d -3b -c0 -20 -9c -59 -46 -54 -d9 -1b -e8 -37 -5d -77 -b8 -bd -fc -a3 -a6 -ee -c0 -7e -ee -ee -d6 -ee -56 -9d -1f -30 -c7 -ce -6a -05 -b4 -d1 -16 -ab -83 -76 -d2 -f5 -be -c4 -cd -f7 -0a -e6 -e5 -82 -9f -b2 -5c -e0 -30 -1d -fb -ff -10 -30 -90 -90 -20 -ea -99 -04 -64 -60 -92 -1b -dc -aa -ef -c5 -66 -8b -6f -40 -45 -14 -05 -66 -49 -51 -fa -56 -84 -38 -fd -d1 -e3 -c5 -35 -09 -6c -31 -9b -ee -3e -3f -36 -8a -22 -da -e8 -d8 -55 -33 -ee -ca -82 -27 -1b -71 -1e -e8 -6b -ad -c8 -77 -e0 -dc -b1 -8e -a6 -60 -cf -4e -9b -d1 -0c -d3 -bf -f1 -61 -ea -0c -b0 -18 -d8 -6c -24 -f3 -77 -3d -5d -f4 -aa -f9 -28 -12 -86 -01 -b0 -74 -35 -51 -ee -07 -65 -ba -d2 -cc -7c -42 -40 -db -33 -05 -aa -f6 -90 -05 -94 -3f -95 -39 -3b -b4 -41 -84 -85 -72 -ad -12 -95 -5c -da -dd -48 -48 -b4 -b4 -af -96 -33 -cb -c0 -0b -48 -12 -99 -ab -28 -8c -19 -87 -c9 -35 -35 -84 -f2 -05 -f9 -af -ea -01 -8a -13 -97 -4a -b7 -be -cd -d9 -9f -7a -c5 -41 -33 -43 -93 -2b -6a -6e -c6 -0c -6b -c4 -f3 -4f -0d -5e -b7 -ae -70 -e5 -14 -31 -68 -fc -3d -ee -3e -ee -1f -d5 -8e -3f -9a -ff -b0 -ae -b6 -21 -60 -4b -87 -a8 -d7 -06 -0c -5d -1d -17 -45 -28 -d0 -4d -58 -3e -5d -b0 -f6 -79 -99 -34 -2c -c9 -64 -06 -32 -7c -0a -ef -cc -3b -57 -09 -20 -3c -45 -77 -44 -4e -f8 -f8 -05 -b3 -55 -95 -7d -5d -53 -60 -82 -f1 -fa -86 -51 -f0 -7d -c4 -c5 -e4 -67 -3f -08 -5a -23 -c6 -ff -d9 -b4 -b5 -64 -66 -c5 -a8 -bd -49 -b4 -e4 -81 -5a -29 -56 -3c -97 -6c -d0 -83 -fa -50 -8b -80 -9c -eb -93 -32 -55 -30 -b6 -88 -4c -3a -fb -8c -65 -c9 -60 -46 -db -1a -37 -db -58 -36 -13 -f5 -00 -d2 -36 -ae -f3 -2a -61 -2c -02 -4c -d4 -4f -7e -02 -67 -3a -d8 -87 -59 -d3 -81 -bb -54 -15 -51 -8c -66 -f9 -74 -0b -d2 -95 -bc -47 -75 -d7 -1d -32 -27 -c9 -aa -bd -24 -98 -7b -14 -5f -ea -77 -e0 -97 -eb -88 -8f -11 -b5 -ee -e6 -df -01 -62 -ef -a3 -3f -33 -bd -a2 -19 -12 -04 -f4 -8c -22 -26 -7f -bf -6d -fa -1c -84 -fd -66 -f6 -3c -9f -8e -f9 -48 -6a -5e -09 -52 -6e -e2 -71 -ec -a2 -00 -09 -29 -23 -f8 -d7 -27 -af -88 -b8 -ef -8c -c1 -d3 -2f -74 -ce -2e -47 -a5 -7a -a9 -0b -17 -c0 -42 -61 -9c -dd -5c -8c -42 -92 -4f -73 -3b -fa -98 -ff -4d -1c -18 -0a -88 -cb -b1 -e7 -00 -28 -70 -62 -af -6c -73 -b1 -9d -31 -a1 -bf -89 -ae -1f -4a -c2 -ee -90 -9c -3c -d1 -76 -95 -d2 -72 -42 -2b -8a -b6 -7d -83 -9c -bb -c5 -a0 -ad -57 -44 -65 -1d -ae -f5 -d0 -a7 -bf -cb -e6 -47 -47 -e1 -a1 -40 -da -2a -20 -4c -d3 -91 -63 -b0 -94 -34 -4f -3c -05 -0d -c8 -c4 -25 -1f -0a -b1 -0c -44 -f2 -a4 -8d -aa -9b -f3 -c8 -2f -f4 -5a -ac -e3 -ef -fe -41 -f8 -9e -b5 -91 -0b -f0 -a9 -9d -42 -fa -d2 -36 -c0 -bd -29 -77 -8d -3e -a4 -93 -7d -59 -7b -33 -9d -03 -52 -94 -c8 -89 -67 -b3 -20 -be -c6 -72 -d0 -85 -28 -f2 -b2 -2f -ee -06 -b9 -6f -af -f6 -c6 -40 -c2 -7e -3e -e9 -0f -a4 -ca -33 -6c -98 -99 -2e -1b -1c -8d -fc -ce -73 -a9 -42 -d2 -4c -e8 -58 -e5 -e6 -78 -0c -44 -43 -3e -7f -d3 -58 -1f -8e -96 -0d -09 -7e -61 -76 -4e -f5 -87 -84 -da -0c -cb -46 -74 -f4 -5d -c7 -43 -9c -fd -ff -5f -b9 -c1 -b5 -7c -ba -c2 -40 -c8 -40 -0e -79 -67 -9b -84 -43 -36 -8e -11 -eb -18 -57 -f6 -38 -25 -82 -d2 -3d -9d -bc -15 -d4 -0a -70 -77 -37 -be -c6 -f4 -2d -bc -f1 -b0 -eb -a8 -d4 -9f -4f -f9 -5f -b5 -6a -73 -60 -fe -2d -0a -48 -74 -9b -c5 -d0 -03 -df -cc -04 -ef -90 -1a -12 -e6 -11 -74 -aa -2a -5f -ea -ef -93 -3d \ No newline at end of file +524c0895 +609b1f05 +b0d46df9 +46589375 +30106d7c +1761e8dc +7ed462df +9f9e3372 +4c5d513d +7e5bbd27 +61247ecd +9f2e7769 +ae8e2ca4 +95cde8e2 +82db2a72 +26305738 +28010642 +5aaceccc +e968983b +80da9a7d +d6beaa62 +a02d7563 +91de7c57 +92305096 +aca9e314 +df2452e6 +341ec4b3 +378c48a1 +deb5b026 +9c657151 +2bc150fd +a0bb897b +18527f25 +03b1d063 +27e0aaa4 +bf16c9c4 +7622635f +59f47b9a +9f8dbcd6 +69fae7d9 +05b44de3 +249e8233 +0d7a8c7f +f96061d7 +ec26457e +a771ef2e +b12bf050 +16d1cd9e +f1fe9ebf +f85bc148 +95b248b4 +e3f150ca +358c33f3 +c8ece2ff +9fa937db +773d18bb +82fd9d29 +65f22290 +df7e59b3 +ae62d28d +129f682e +cdab29fb +2b5efbe9 +9792231f +cd015090 +dab076fc +ddccd5eb +2fde1f10 +d562779e +66785313 +9b69c0e8 +779dc2bc +f9449c65 +c04acb7c +9dba6fcd +7d9b6a42 +276653b4 +db64a937 +a4602749 +1f734980 +ab444018 +92896900 +0c7975c9 +4080e414 +6fd149e0 +01c43356 +8da9eb7c +766b855c +4735d719 +35e7d477 +5d58d24f +0b91b876 +79660392 +f26db461 +37d6e5f0 +7863c03f +b7eed8d8 +6cf3ced2 +4fab0bce +917d0f57 +186a8639 +9f59ab48 +a2960b17 +4c5cc01b +b4bb98c4 +6ecc5501 +14ed44b8 +da9d0ca8 +90ef3346 +09f152fb +d2ac6b5f +f9e9c6de +8796464e +5ca09ff4 +96be3b7a +db65d059 +bc45c829 +392da269 +b7fc3339 +5faf9279 +132dece5 +d84f249a +fca54a87 +8a14765a +afb85e51 +0ef64c83 +536bab14 +68f35cdb +788d73fb +19b493e4 +f289684e +f4be169b +61e06b30 +dec0c039 +bd1c3761 +4419dbf6 +9d829efe +256adac2 +ae28273e +373ec50b +d12dc034 +239f287b +ca115890 +d81641b8 +52750d5f +e1f82223 +358cb5c8 +3148919b +096d8ace +555f6c38 +b2b7ab11 +3ad93c12 +f84d3a8e +6e7dcef9 +6496de5b +fc92883b +ea11546d +5faa2e62 +583be754 +472ff4f3 +268b7dfa +d8678232 +73820769 +6287b0da +ac560283 +f741cde7 +ab01e1c8 +32a3124d +515f0b14 +d17a18be +3c9c3360 +b2560f69 +28dad67e +707165b7 +d0cb8ade +c3b5b60e +2f7cf14c +85c2ab8f +a20d00b1 +972da3d8 +5dd86dcf +94bbccc4 +a9efef06 +27b47e27 +a0371c12 +31d58f93 +f44ca9cb +4e0f6a17 +5527c1e5 +78da95fc +784236af +28c48487 +117352d6 +784d55bb +f7cb0520 +dbac5a16 +ea692831 +332d1050 +c498a64b +03d25f20 +05c23907 +7869caf7 +ab46851e +02c467c4 +707b5224 +911746af +e4b39e48 +bec11fe0 +fa464e16 +439ef837 +4d433836 +19d58312 +98224d2b +ad91000b +c1c49b16 +1e3954b8 +8c8aed72 +d3a4226a +82b1c8d7 +c12a97f0 +959e82b9 +a6e4bf52 +7db53527 +3bb80797 +c0fb4e8d +20c2ca81 +9c567d73 +590a8e4d +4693b83c +54aec375 +d9be20e9 +1b8dbe6f +e86984d5 +3775ad6c +5d403878 +77674a1a +b8b00f25 +bdbc0764 +fc2e2ecd +a30d7b2e +a6657fbb +ee97c41a +c06f7857 +7e1cab47 +eee304e4 +ee59420e +d6414b43 +ee1bbbc5 +56e40aaf +9d53437d +1f0d5780 +30ff8dc4 +c7d472e9 +ce21e664 +6a977ebb +05bd7a23 +524c0895 +609b1f05 +b0d46df9 +46589375 +30106d7c +1761e8dc +7ed462df +9f9e3372 +4c5d513d +7e5bbd27 +61247ecd +9f2e7769 +ae8e2ca4 +95cde8e2 +82db2a72 +26305738 +28010642 +5aaceccc +e968983b +80da9a7d +d6beaa62 +a02d7563 +91de7c57 +92305096 +aca9e314 +df2452e6 +341ec4b3 +378c48a1 +deb5b026 +9c657151 +2bc150fd +a0bb897b +18527f25 +03b1d063 +27e0aaa4 +bf16c9c4 +7622635f +59f47b9a +9f8dbcd6 +69fae7d9 +05b44de3 +249e8233 +0d7a8c7f +f96061d7 +ec26457e +a771ef2e +b12bf050 +16d1cd9e +f1fe9ebf +f85bc148 +95b248b4 +e3f150ca +358c33f3 +c8ece2ff +9fa937db +773d18bb +82fd9d29 +65f22290 +df7e59b3 +ae62d28d +129f682e +cdab29fb +2b5efbe9 +9792231f +cd015090 +dab076fc +ddccd5eb +2fde1f10 +d562779e +66785313 +9b69c0e8 +779dc2bc +f9449c65 +c04acb7c +9dba6fcd +7d9b6a42 +276653b4 +db64a937 +a4602749 +1f734980 +ab444018 +92896900 +0c7975c9 +4080e414 +6fd149e0 +01c43356 +8da9eb7c +766b855c +4735d719 +35e7d477 +5d58d24f +0b91b876 +79660392 +f26db461 +37d6e5f0 +7863c03f +b7eed8d8 +6cf3ced2 +4fab0bce +917d0f57 +186a8639 +9f59ab48 +a2960b17 +4c5cc01b +b4bb98c4 +6ecc5501 +14ed44b8 +da9d0ca8 +90ef3346 +09f152fb +d2ac6b5f +f9e9c6de +8796464e +5ca09ff4 +96be3b7a +db65d059 +bc45c829 +392da269 +b7fc3339 +5faf9279 +132dece5 +d84f249a +fca54a87 +8a14765a +afb85e51 +0ef64c83 +536bab14 +68f35cdb +788d73fb +19b493e4 +f289684e +f4be169b +61e06b30 +dec0c039 +bd1c3761 +4419dbf6 +9d829efe +256adac2 +ae28273e +373ec50b +d12dc034 +239f287b +ca115890 +d81641b8 +52750d5f +e1f82223 +358cb5c8 +3148919b +096d8ace +555f6c38 +b2b7ab11 +3ad93c12 +f84d3a8e +6e7dcef9 +6496de5b +fc92883b +ea11546d +5faa2e62 +583be754 +472ff4f3 +268b7dfa +d8678232 +73820769 +6287b0da +ac560283 +f741cde7 +ab01e1c8 +32a3124d +515f0b14 +d17a18be +3c9c3360 +b2560f69 +28dad67e +707165b7 +d0cb8ade +c3b5b60e +2f7cf14c +85c2ab8f +a20d00b1 +972da3d8 +5dd86dcf +94bbccc4 +a9efef06 +27b47e27 +a0371c12 +31d58f93 +f44ca9cb +4e0f6a17 +5527c1e5 +78da95fc +784236af +28c48487 +117352d6 +784d55bb +f7cb0520 +dbac5a16 +ea692831 +332d1050 +c498a64b +03d25f20 +05c23907 +7869caf7 +ab46851e +02c467c4 +707b5224 +911746af +e4b39e48 +bec11fe0 +fa464e16 +439ef837 +4d433836 +19d58312 +98224d2b +ad91000b +c1c49b16 +1e3954b8 +8c8aed72 +d3a4226a +82b1c8d7 +c12a97f0 +959e82b9 +a6e4bf52 +7db53527 +3bb80797 +c0fb4e8d +20c2ca81 +9c567d73 +590a8e4d +4693b83c +54aec375 +d9be20e9 +1b8dbe6f +e86984d5 +3775ad6c +5d403878 +77674a1a +b8b00f25 +bdbc0764 +fc2e2ecd +a30d7b2e +a6657fbb +ee97c41a +c06f7857 +7e1cab47 +eee304e4 +ee59420e +d6414b43 +ee1bbbc5 +56e40aaf +9d53437d +1f0d5780 +30ff8dc4 +c7d472e9 +ce21e664 +6a977ebb +05bd7a23 +524c0895 +609b1f05 +b0d46df9 +46589375 +30106d7c +1761e8dc +7ed462df +9f9e3372 +4c5d513d +7e5bbd27 +61247ecd +9f2e7769 +ae8e2ca4 +95cde8e2 +82db2a72 +26305738 +28010642 +5aaceccc +e968983b +80da9a7d +d6beaa62 +a02d7563 +91de7c57 +92305096 +aca9e314 +df2452e6 +341ec4b3 +378c48a1 +deb5b026 +9c657151 +2bc150fd +a0bb897b +18527f25 +03b1d063 +27e0aaa4 +bf16c9c4 +7622635f +59f47b9a +9f8dbcd6 +69fae7d9 +05b44de3 +249e8233 +0d7a8c7f +f96061d7 +ec26457e +a771ef2e +b12bf050 +16d1cd9e +f1fe9ebf +f85bc148 +95b248b4 +e3f150ca +358c33f3 +c8ece2ff +9fa937db +773d18bb +82fd9d29 +65f22290 +df7e59b3 +ae62d28d +129f682e +cdab29fb +2b5efbe9 +9792231f +cd015090 +dab076fc +ddccd5eb +2fde1f10 +d562779e +66785313 +9b69c0e8 +779dc2bc +f9449c65 +c04acb7c +9dba6fcd +7d9b6a42 +276653b4 +db64a937 +a4602749 +1f734980 +ab444018 +92896900 +0c7975c9 +4080e414 +6fd149e0 +01c43356 +8da9eb7c +766b855c +4735d719 +35e7d477 +5d58d24f +0b91b876 +79660392 +f26db461 +37d6e5f0 +7863c03f +b7eed8d8 +6cf3ced2 +4fab0bce +917d0f57 +186a8639 +9f59ab48 +a2960b17 +4c5cc01b +b4bb98c4 +6ecc5501 +14ed44b8 +da9d0ca8 +90ef3346 +09f152fb +d2ac6b5f +f9e9c6de +8796464e +5ca09ff4 +96be3b7a +db65d059 +bc45c829 +392da269 +b7fc3339 +5faf9279 +132dece5 +d84f249a +fca54a87 +8a14765a +afb85e51 +0ef64c83 +536bab14 +68f35cdb +788d73fb +19b493e4 +f289684e +f4be169b +61e06b30 +dec0c039 +bd1c3761 +4419dbf6 +9d829efe +256adac2 +ae28273e +373ec50b +d12dc034 +239f287b +ca115890 +d81641b8 +52750d5f +e1f82223 +358cb5c8 +3148919b +096d8ace +555f6c38 +b2b7ab11 +3ad93c12 +f84d3a8e +6e7dcef9 +6496de5b +fc92883b +ea11546d +5faa2e62 +583be754 +472ff4f3 +268b7dfa +d8678232 +73820769 +6287b0da +ac560283 +f741cde7 +ab01e1c8 +32a3124d +515f0b14 +d17a18be +3c9c3360 +b2560f69 +28dad67e +707165b7 +d0cb8ade +c3b5b60e +2f7cf14c +85c2ab8f +a20d00b1 +972da3d8 +5dd86dcf +94bbccc4 +a9efef06 +27b47e27 +a0371c12 +31d58f93 +f44ca9cb +4e0f6a17 +5527c1e5 +78da95fc +784236af +28c48487 +117352d6 +784d55bb +f7cb0520 +dbac5a16 +ea692831 +332d1050 +c498a64b +03d25f20 +05c23907 +7869caf7 +ab46851e +02c467c4 +707b5224 +911746af +e4b39e48 +bec11fe0 +fa464e16 +439ef837 +4d433836 +19d58312 +98224d2b +ad91000b +c1c49b16 +1e3954b8 +8c8aed72 +d3a4226a +82b1c8d7 +c12a97f0 +959e82b9 +a6e4bf52 +7db53527 +3bb80797 +c0fb4e8d +20c2ca81 +9c567d73 +590a8e4d +4693b83c +54aec375 +d9be20e9 +1b8dbe6f +e86984d5 +3775ad6c +5d403878 +77674a1a +b8b00f25 +bdbc0764 +fc2e2ecd +a30d7b2e +a6657fbb +ee97c41a +c06f7857 +7e1cab47 +eee304e4 +ee59420e +d6414b43 +ee1bbbc5 +56e40aaf +9d53437d +1f0d5780 +30ff8dc4 +c7d472e9 +ce21e664 +6a977ebb +05bd7a23 +524c0895 +609b1f05 +b0d46df9 +46589375 +30106d7c +1761e8dc +7ed462df +9f9e3372 +4c5d513d +7e5bbd27 +61247ecd +9f2e7769 +ae8e2ca4 +95cde8e2 +82db2a72 +26305738 +28010642 +5aaceccc +e968983b +80da9a7d +d6beaa62 +a02d7563 +91de7c57 +92305096 +aca9e314 +df2452e6 +341ec4b3 +378c48a1 +deb5b026 +9c657151 +2bc150fd +a0bb897b +18527f25 +03b1d063 +27e0aaa4 +bf16c9c4 +7622635f +59f47b9a +9f8dbcd6 +69fae7d9 +05b44de3 +249e8233 +0d7a8c7f +f96061d7 +ec26457e +a771ef2e +b12bf050 +16d1cd9e +f1fe9ebf +f85bc148 +95b248b4 +e3f150ca +358c33f3 +c8ece2ff +9fa937db +773d18bb +82fd9d29 +65f22290 +df7e59b3 +ae62d28d +129f682e +cdab29fb +2b5efbe9 +9792231f +cd015090 +dab076fc +ddccd5eb +2fde1f10 +d562779e +66785313 +9b69c0e8 +779dc2bc +f9449c65 +c04acb7c +9dba6fcd +7d9b6a42 +276653b4 +db64a937 +a4602749 +1f734980 +ab444018 +92896900 +0c7975c9 +4080e414 +6fd149e0 +01c43356 +8da9eb7c +766b855c +4735d719 +35e7d477 +5d58d24f +0b91b876 +79660392 +f26db461 +37d6e5f0 +7863c03f +b7eed8d8 +6cf3ced2 +4fab0bce +917d0f57 +186a8639 +9f59ab48 +a2960b17 +4c5cc01b +b4bb98c4 +6ecc5501 +14ed44b8 +da9d0ca8 +90ef3346 +09f152fb +d2ac6b5f +f9e9c6de +8796464e +5ca09ff4 +96be3b7a +db65d059 +bc45c829 +392da269 +b7fc3339 +5faf9279 +132dece5 +d84f249a +fca54a87 +8a14765a +afb85e51 +0ef64c83 +536bab14 +68f35cdb +788d73fb +19b493e4 +f289684e +f4be169b +61e06b30 +dec0c039 +bd1c3761 +4419dbf6 +9d829efe +256adac2 +ae28273e +373ec50b +d12dc034 +239f287b +ca115890 +d81641b8 +52750d5f +e1f82223 +358cb5c8 +3148919b +096d8ace +555f6c38 +b2b7ab11 +3ad93c12 +f84d3a8e +6e7dcef9 +6496de5b +fc92883b +ea11546d +5faa2e62 +583be754 +472ff4f3 +268b7dfa +d8678232 +73820769 +6287b0da +ac560283 +f741cde7 +ab01e1c8 +32a3124d +515f0b14 +d17a18be +3c9c3360 +b2560f69 +28dad67e +707165b7 +d0cb8ade +c3b5b60e +2f7cf14c +85c2ab8f +a20d00b1 +972da3d8 +5dd86dcf +94bbccc4 +a9efef06 +27b47e27 +a0371c12 +31d58f93 +f44ca9cb +4e0f6a17 +5527c1e5 +78da95fc +784236af +28c48487 +117352d6 +784d55bb +f7cb0520 +dbac5a16 +ea692831 +332d1050 +c498a64b +03d25f20 +05c23907 +7869caf7 +ab46851e +02c467c4 +707b5224 +911746af +e4b39e48 +bec11fe0 +fa464e16 +439ef837 +4d433836 +19d58312 +98224d2b +ad91000b +c1c49b16 +1e3954b8 +8c8aed72 +d3a4226a +82b1c8d7 +c12a97f0 +959e82b9 +a6e4bf52 +7db53527 +3bb80797 +c0fb4e8d +20c2ca81 +9c567d73 +590a8e4d +4693b83c +54aec375 +d9be20e9 +1b8dbe6f +e86984d5 +3775ad6c +5d403878 +77674a1a +b8b00f25 +bdbc0764 +fc2e2ecd +a30d7b2e +a6657fbb +ee97c41a +c06f7857 +7e1cab47 +eee304e4 +ee59420e +d6414b43 +ee1bbbc5 +56e40aaf +9d53437d +1f0d5780 +30ff8dc4 +c7d472e9 +ce21e664 +6a977ebb +05bd7a23 \ No newline at end of file diff --git a/Labs/03. Register file and memory/tb_data_mem.sv b/Labs/03. Register file and memory/tb_data_mem.sv index 7ed55ff4..784d2476 100644 --- a/Labs/03. Register file and memory/tb_data_mem.sv +++ b/Labs/03. Register file and memory/tb_data_mem.sv @@ -21,8 +21,9 @@ module tb_data_mem(); -parameter ADDR_SIZE = 4096; -parameter TIME_OPERATION = 50; +parameter ADDR_SIZE = 16384; +parameter TIME_OPERATION = 20; +parameter STEP = 8; logic CLK; logic REQ; @@ -36,14 +37,12 @@ parameter TIME_OPERATION = 50; .mem_req_i (REQ), .write_enable_i (WE ), .addr_i (A ), - .write_data_i (WD), - .read_data_o (RD) + .write_data_i (WD ), + .read_data_o (RD ) ); logic [31:0] RDa; - integer i, err_count = 0; - assign A = i; parameter CLK_FREQ_MHz = 100; @@ -57,7 +56,7 @@ parameter TIME_OPERATION = 50; $display( "\nStart test: \n\n==========================\nCLICK THE BUTTON 'Run All'\n==========================\n"); $stop(); REQ = 1; WE = 0; - i = 1; #10; + i = 0; #10; if (RD !== 32'hx) begin $display("The data memory should not be initialized by the $readmemh function"); err_count = err_count + 1; @@ -67,8 +66,8 @@ parameter TIME_OPERATION = 50; WE = 1; WD = $urandom; end - for (i = 0; i < (ADDR_SIZE+1); i = i + 1) begin - if (i != (ADDR_SIZE+1)) begin + for (i = 0; i < (ADDR_SIZE+STEP); i = i + 1 + $urandom() % STEP) begin + if (i < (ADDR_SIZE)) begin REQ = |($urandom %10); WE = 0; #TIME_OPERATION; @@ -87,10 +86,11 @@ parameter TIME_OPERATION = 50; end end else begin + WE = 0; REQ = 1; #TIME_OPERATION; if (RD !== 32'd3735928559) begin - $display("When reading (write_enable_i = %h) at an address greater than 4095, it should return dead_beef yor data: %h_%h, time: %t", WE, RD[31:16],RD[15:0], $time); + $display("When reading (write_enable_i = %h) at an address greater than 16383 (addr = %d), it should return dead_beef your data: %h_%h, time: %t", WE, A, RD[31:16],RD[15:0], $time); err_count = err_count + 1; end end @@ -98,31 +98,29 @@ parameter TIME_OPERATION = 50; end #TIME_OPERATION; REQ = 1; - WE = 1; - #TIME_OPERATION; - for (i = 0; i < 8; i = i + 4) begin - WD = i? 32'hfecd_ba98: 32'h7654_3210; - #TIME_OPERATION; - end WE = 0; - i = 2; #TIME_OPERATION; - if (RD !== 32'hba98_7654) begin - $display("data is being written to the cell incorrectly. RAM [0:7] must be 0x0123456789abcdef, time: %t", $time); + for (i = 0; i < 4; i = i + 1) begin + if(i==0) begin + repeat(2)@(posedge CLK); + RDa = RD; + end else + if(RD !== RDa) begin + $display("incorrect conversion of the reading address = %h, time: %t", A, $time); err_count = err_count + 1; + end + #TIME_OPERATION; end - @(posedge CLK) - i = 0; + i = 0; WE = 0; REQ = 1; + @(posedge CLK); @(negedge CLK); - if (RD !== 32'hba98_7654) begin + i = 4; + #1; RDa = RD; + @(posedge CLK); #1; + if (RD == RDa) begin $display("reading from data memory must be synchronous, time: %t", $time); err_count = err_count + 1; end - @(posedge CLK); #5; - if (RD !== 32'h7654_3210) begin - $display("synchronous data memory read error, time: %t", $time); - err_count = err_count + 1; - end $display("Number of errors: %d", err_count); if( !err_count ) $display("\ndata_mem SUCCESS!!!\n"); $finish(); diff --git a/Labs/03. Register file and memory/tb_instr_mem.sv b/Labs/03. Register file and memory/tb_instr_mem.sv index 4a7ba636..8ae6722a 100644 --- a/Labs/03. Register file and memory/tb_instr_mem.sv +++ b/Labs/03. Register file and memory/tb_instr_mem.sv @@ -21,41 +21,35 @@ module tb_instr_mem(); -parameter ADDR_SIZE = 1021; -parameter TIME_OPERATION = 100; +parameter ADDR_SIZE = 4096; +parameter TIME_OPERATION = 10; +parameter STEP = 8; - wire [31:0] A; - wire [31:0] RD; - wire [31:0] RDref; + logic [31:0] addr; + logic [31:0] RD; + logic [31:0] RDref; - instr_mem_ref8 DUTref( - .A(A), - .RD(RDref) + instr_mem_ref DUTref( + .addr_i(addr), + .read_data_o(RDref) ); instr_mem DUT ( - .addr_i(A), + .addr_i(addr), .read_data_o(RD) ); integer i, err_count = 0; - assign A = i; + assign addr = i; initial begin $timeformat (-9, 2, "ns"); $display( "\nStart test: \n\n==========================\nCLICK THE BUTTON 'Run All'\n==========================\n"); $stop(); - for (i = 0; i < ADDR_SIZE; i = i + 1) begin + for (i = 0; i < ADDR_SIZE + STEP; i = i + 1 + $urandom() % STEP) begin #TIME_OPERATION; if ( RD !== RDref) begin - $display("time = %0t, address %h. Invalid data %h, correct data %h", $time, A, RD, RDref); - err_count = err_count + 1; - end - end - for (i = 1021; i < 1024; i = i + 1) begin - #TIME_OPERATION; - if ( RD !== 32'b0) begin - $display("time = %0t, ERROR! Addr = %d", $time, A, " %h != 0", RD); + $display("time = %0t, address %d. Invalid data %h, correct data %h", $time, addr, RD, RDref); err_count = err_count + 1; end end @@ -66,8290 +60,32 @@ parameter TIME_OPERATION = 100; endmodule +module instr_mem_ref( + input [31:0] addr_i, + output logic [31:0] read_data_o + ); -(* STRUCTURAL_NETLIST = "yes" *) -module instr_mem_ref8 - (A, - RD); - input [31:0]A; - output [31:0]RD; +`define akjsdnnaskjdn $clog2(128) +`define cdyfguvhbjnmk $clog2(`akjsdnnaskjdn) +`define qwenklfsaklasd $clog2(`cdyfguvhbjnmk) +`define asdasdhkjasdsa (34 >> `cdyfguvhbjnmk) - wire [31:0]A; - wire [9:0]A_IBUF; - wire [31:0]RD; - wire [31:0]RD_OBUF; - wire \RD_OBUF[0]_inst_i_10_n_0 ; - wire \RD_OBUF[0]_inst_i_11_n_0 ; - wire \RD_OBUF[0]_inst_i_12_n_0 ; - wire \RD_OBUF[0]_inst_i_13_n_0 ; - wire \RD_OBUF[0]_inst_i_2_n_0 ; - wire \RD_OBUF[0]_inst_i_3_n_0 ; - wire \RD_OBUF[0]_inst_i_4_n_0 ; - wire \RD_OBUF[0]_inst_i_5_n_0 ; - wire \RD_OBUF[0]_inst_i_6_n_0 ; - wire \RD_OBUF[0]_inst_i_7_n_0 ; - wire \RD_OBUF[0]_inst_i_8_n_0 ; - wire \RD_OBUF[0]_inst_i_9_n_0 ; - wire \RD_OBUF[10]_inst_i_10_n_0 ; - wire \RD_OBUF[10]_inst_i_11_n_0 ; - wire \RD_OBUF[10]_inst_i_2_n_0 ; - wire \RD_OBUF[10]_inst_i_3_n_0 ; - wire \RD_OBUF[10]_inst_i_4_n_0 ; - wire \RD_OBUF[10]_inst_i_5_n_0 ; - wire \RD_OBUF[10]_inst_i_6_n_0 ; - wire \RD_OBUF[10]_inst_i_7_n_0 ; - wire \RD_OBUF[10]_inst_i_8_n_0 ; - wire \RD_OBUF[10]_inst_i_9_n_0 ; - wire \RD_OBUF[11]_inst_i_10_n_0 ; - wire \RD_OBUF[11]_inst_i_11_n_0 ; - wire \RD_OBUF[11]_inst_i_2_n_0 ; - wire \RD_OBUF[11]_inst_i_3_n_0 ; - wire \RD_OBUF[11]_inst_i_4_n_0 ; - wire \RD_OBUF[11]_inst_i_5_n_0 ; - wire \RD_OBUF[11]_inst_i_6_n_0 ; - wire \RD_OBUF[11]_inst_i_7_n_0 ; - wire \RD_OBUF[11]_inst_i_8_n_0 ; - wire \RD_OBUF[11]_inst_i_9_n_0 ; - wire \RD_OBUF[12]_inst_i_10_n_0 ; - wire \RD_OBUF[12]_inst_i_11_n_0 ; - wire \RD_OBUF[12]_inst_i_2_n_0 ; - wire \RD_OBUF[12]_inst_i_3_n_0 ; - wire \RD_OBUF[12]_inst_i_4_n_0 ; - wire \RD_OBUF[12]_inst_i_5_n_0 ; - wire \RD_OBUF[12]_inst_i_6_n_0 ; - wire \RD_OBUF[12]_inst_i_7_n_0 ; - wire \RD_OBUF[12]_inst_i_8_n_0 ; - wire \RD_OBUF[12]_inst_i_9_n_0 ; - wire \RD_OBUF[13]_inst_i_2_n_0 ; - wire \RD_OBUF[13]_inst_i_3_n_0 ; - wire \RD_OBUF[13]_inst_i_4_n_0 ; - wire \RD_OBUF[13]_inst_i_5_n_0 ; - wire \RD_OBUF[13]_inst_i_6_n_0 ; - wire \RD_OBUF[13]_inst_i_7_n_0 ; - wire \RD_OBUF[13]_inst_i_8_n_0 ; - wire \RD_OBUF[14]_inst_i_10_n_0 ; - wire \RD_OBUF[14]_inst_i_11_n_0 ; - wire \RD_OBUF[14]_inst_i_2_n_0 ; - wire \RD_OBUF[14]_inst_i_3_n_0 ; - wire \RD_OBUF[14]_inst_i_4_n_0 ; - wire \RD_OBUF[14]_inst_i_5_n_0 ; - wire \RD_OBUF[14]_inst_i_6_n_0 ; - wire \RD_OBUF[14]_inst_i_7_n_0 ; - wire \RD_OBUF[14]_inst_i_8_n_0 ; - wire \RD_OBUF[14]_inst_i_9_n_0 ; - wire \RD_OBUF[15]_inst_i_10_n_0 ; - wire \RD_OBUF[15]_inst_i_11_n_0 ; - wire \RD_OBUF[15]_inst_i_12_n_0 ; - wire \RD_OBUF[15]_inst_i_13_n_0 ; - wire \RD_OBUF[15]_inst_i_2_n_0 ; - wire \RD_OBUF[15]_inst_i_3_n_0 ; - wire \RD_OBUF[15]_inst_i_4_n_0 ; - wire \RD_OBUF[15]_inst_i_5_n_0 ; - wire \RD_OBUF[15]_inst_i_6_n_0 ; - wire \RD_OBUF[15]_inst_i_7_n_0 ; - wire \RD_OBUF[15]_inst_i_8_n_0 ; - wire \RD_OBUF[15]_inst_i_9_n_0 ; - wire \RD_OBUF[16]_inst_i_10_n_0 ; - wire \RD_OBUF[16]_inst_i_11_n_0 ; - wire \RD_OBUF[16]_inst_i_2_n_0 ; - wire \RD_OBUF[16]_inst_i_3_n_0 ; - wire \RD_OBUF[16]_inst_i_4_n_0 ; - wire \RD_OBUF[16]_inst_i_5_n_0 ; - wire \RD_OBUF[16]_inst_i_6_n_0 ; - wire \RD_OBUF[16]_inst_i_7_n_0 ; - wire \RD_OBUF[16]_inst_i_8_n_0 ; - wire \RD_OBUF[16]_inst_i_9_n_0 ; - wire \RD_OBUF[17]_inst_i_10_n_0 ; - wire \RD_OBUF[17]_inst_i_11_n_0 ; - wire \RD_OBUF[17]_inst_i_2_n_0 ; - wire \RD_OBUF[17]_inst_i_3_n_0 ; - wire \RD_OBUF[17]_inst_i_4_n_0 ; - wire \RD_OBUF[17]_inst_i_5_n_0 ; - wire \RD_OBUF[17]_inst_i_6_n_0 ; - wire \RD_OBUF[17]_inst_i_7_n_0 ; - wire \RD_OBUF[17]_inst_i_8_n_0 ; - wire \RD_OBUF[17]_inst_i_9_n_0 ; - wire \RD_OBUF[18]_inst_i_10_n_0 ; - wire \RD_OBUF[18]_inst_i_11_n_0 ; - wire \RD_OBUF[18]_inst_i_2_n_0 ; - wire \RD_OBUF[18]_inst_i_3_n_0 ; - wire \RD_OBUF[18]_inst_i_4_n_0 ; - wire \RD_OBUF[18]_inst_i_5_n_0 ; - wire \RD_OBUF[18]_inst_i_6_n_0 ; - wire \RD_OBUF[18]_inst_i_7_n_0 ; - wire \RD_OBUF[18]_inst_i_8_n_0 ; - wire \RD_OBUF[18]_inst_i_9_n_0 ; - wire \RD_OBUF[19]_inst_i_10_n_0 ; - wire \RD_OBUF[19]_inst_i_11_n_0 ; - wire \RD_OBUF[19]_inst_i_2_n_0 ; - wire \RD_OBUF[19]_inst_i_3_n_0 ; - wire \RD_OBUF[19]_inst_i_4_n_0 ; - wire \RD_OBUF[19]_inst_i_5_n_0 ; - wire \RD_OBUF[19]_inst_i_6_n_0 ; - wire \RD_OBUF[19]_inst_i_7_n_0 ; - wire \RD_OBUF[19]_inst_i_8_n_0 ; - wire \RD_OBUF[19]_inst_i_9_n_0 ; - wire \RD_OBUF[1]_inst_i_10_n_0 ; - wire \RD_OBUF[1]_inst_i_11_n_0 ; - wire \RD_OBUF[1]_inst_i_12_n_0 ; - wire \RD_OBUF[1]_inst_i_13_n_0 ; - wire \RD_OBUF[1]_inst_i_2_n_0 ; - wire \RD_OBUF[1]_inst_i_3_n_0 ; - wire \RD_OBUF[1]_inst_i_4_n_0 ; - wire \RD_OBUF[1]_inst_i_5_n_0 ; - wire \RD_OBUF[1]_inst_i_6_n_0 ; - wire \RD_OBUF[1]_inst_i_7_n_0 ; - wire \RD_OBUF[1]_inst_i_8_n_0 ; - wire \RD_OBUF[1]_inst_i_9_n_0 ; - wire \RD_OBUF[20]_inst_i_10_n_0 ; - wire \RD_OBUF[20]_inst_i_11_n_0 ; - wire \RD_OBUF[20]_inst_i_2_n_0 ; - wire \RD_OBUF[20]_inst_i_3_n_0 ; - wire \RD_OBUF[20]_inst_i_4_n_0 ; - wire \RD_OBUF[20]_inst_i_5_n_0 ; - wire \RD_OBUF[20]_inst_i_6_n_0 ; - wire \RD_OBUF[20]_inst_i_7_n_0 ; - wire \RD_OBUF[20]_inst_i_8_n_0 ; - wire \RD_OBUF[20]_inst_i_9_n_0 ; - wire \RD_OBUF[21]_inst_i_2_n_0 ; - wire \RD_OBUF[21]_inst_i_3_n_0 ; - wire \RD_OBUF[21]_inst_i_4_n_0 ; - wire \RD_OBUF[21]_inst_i_5_n_0 ; - wire \RD_OBUF[21]_inst_i_6_n_0 ; - wire \RD_OBUF[21]_inst_i_7_n_0 ; - wire \RD_OBUF[21]_inst_i_8_n_0 ; - wire \RD_OBUF[22]_inst_i_10_n_0 ; - wire \RD_OBUF[22]_inst_i_11_n_0 ; - wire \RD_OBUF[22]_inst_i_2_n_0 ; - wire \RD_OBUF[22]_inst_i_3_n_0 ; - wire \RD_OBUF[22]_inst_i_4_n_0 ; - wire \RD_OBUF[22]_inst_i_5_n_0 ; - wire \RD_OBUF[22]_inst_i_6_n_0 ; - wire \RD_OBUF[22]_inst_i_7_n_0 ; - wire \RD_OBUF[22]_inst_i_8_n_0 ; - wire \RD_OBUF[22]_inst_i_9_n_0 ; - wire \RD_OBUF[23]_inst_i_10_n_0 ; - wire \RD_OBUF[23]_inst_i_11_n_0 ; - wire \RD_OBUF[23]_inst_i_12_n_0 ; - wire \RD_OBUF[23]_inst_i_13_n_0 ; - wire \RD_OBUF[23]_inst_i_2_n_0 ; - wire \RD_OBUF[23]_inst_i_3_n_0 ; - wire \RD_OBUF[23]_inst_i_4_n_0 ; - wire \RD_OBUF[23]_inst_i_5_n_0 ; - wire \RD_OBUF[23]_inst_i_6_n_0 ; - wire \RD_OBUF[23]_inst_i_7_n_0 ; - wire \RD_OBUF[23]_inst_i_8_n_0 ; - wire \RD_OBUF[23]_inst_i_9_n_0 ; - wire \RD_OBUF[24]_inst_i_10_n_0 ; - wire \RD_OBUF[24]_inst_i_11_n_0 ; - wire \RD_OBUF[24]_inst_i_2_n_0 ; - wire \RD_OBUF[24]_inst_i_3_n_0 ; - wire \RD_OBUF[24]_inst_i_4_n_0 ; - wire \RD_OBUF[24]_inst_i_5_n_0 ; - wire \RD_OBUF[24]_inst_i_6_n_0 ; - wire \RD_OBUF[24]_inst_i_7_n_0 ; - wire \RD_OBUF[24]_inst_i_8_n_0 ; - wire \RD_OBUF[24]_inst_i_9_n_0 ; - wire \RD_OBUF[25]_inst_i_10_n_0 ; - wire \RD_OBUF[25]_inst_i_11_n_0 ; - wire \RD_OBUF[25]_inst_i_2_n_0 ; - wire \RD_OBUF[25]_inst_i_3_n_0 ; - wire \RD_OBUF[25]_inst_i_4_n_0 ; - wire \RD_OBUF[25]_inst_i_5_n_0 ; - wire \RD_OBUF[25]_inst_i_6_n_0 ; - wire \RD_OBUF[25]_inst_i_7_n_0 ; - wire \RD_OBUF[25]_inst_i_8_n_0 ; - wire \RD_OBUF[25]_inst_i_9_n_0 ; - wire \RD_OBUF[26]_inst_i_10_n_0 ; - wire \RD_OBUF[26]_inst_i_11_n_0 ; - wire \RD_OBUF[26]_inst_i_2_n_0 ; - wire \RD_OBUF[26]_inst_i_3_n_0 ; - wire \RD_OBUF[26]_inst_i_4_n_0 ; - wire \RD_OBUF[26]_inst_i_5_n_0 ; - wire \RD_OBUF[26]_inst_i_6_n_0 ; - wire \RD_OBUF[26]_inst_i_7_n_0 ; - wire \RD_OBUF[26]_inst_i_8_n_0 ; - wire \RD_OBUF[26]_inst_i_9_n_0 ; - wire \RD_OBUF[27]_inst_i_10_n_0 ; - wire \RD_OBUF[27]_inst_i_11_n_0 ; - wire \RD_OBUF[27]_inst_i_2_n_0 ; - wire \RD_OBUF[27]_inst_i_3_n_0 ; - wire \RD_OBUF[27]_inst_i_4_n_0 ; - wire \RD_OBUF[27]_inst_i_5_n_0 ; - wire \RD_OBUF[27]_inst_i_6_n_0 ; - wire \RD_OBUF[27]_inst_i_7_n_0 ; - wire \RD_OBUF[27]_inst_i_8_n_0 ; - wire \RD_OBUF[27]_inst_i_9_n_0 ; - wire \RD_OBUF[28]_inst_i_10_n_0 ; - wire \RD_OBUF[28]_inst_i_11_n_0 ; - wire \RD_OBUF[28]_inst_i_2_n_0 ; - wire \RD_OBUF[28]_inst_i_3_n_0 ; - wire \RD_OBUF[28]_inst_i_4_n_0 ; - wire \RD_OBUF[28]_inst_i_5_n_0 ; - wire \RD_OBUF[28]_inst_i_6_n_0 ; - wire \RD_OBUF[28]_inst_i_7_n_0 ; - wire \RD_OBUF[28]_inst_i_8_n_0 ; - wire \RD_OBUF[28]_inst_i_9_n_0 ; - wire \RD_OBUF[29]_inst_i_2_n_0 ; - wire \RD_OBUF[29]_inst_i_3_n_0 ; - wire \RD_OBUF[29]_inst_i_5_n_0 ; - wire \RD_OBUF[29]_inst_i_7_n_0 ; - wire \RD_OBUF[2]_inst_i_10_n_0 ; - wire \RD_OBUF[2]_inst_i_11_n_0 ; - wire \RD_OBUF[2]_inst_i_12_n_0 ; - wire \RD_OBUF[2]_inst_i_13_n_0 ; - wire \RD_OBUF[2]_inst_i_2_n_0 ; - wire \RD_OBUF[2]_inst_i_3_n_0 ; - wire \RD_OBUF[2]_inst_i_4_n_0 ; - wire \RD_OBUF[2]_inst_i_5_n_0 ; - wire \RD_OBUF[2]_inst_i_6_n_0 ; - wire \RD_OBUF[2]_inst_i_7_n_0 ; - wire \RD_OBUF[2]_inst_i_8_n_0 ; - wire \RD_OBUF[2]_inst_i_9_n_0 ; - wire \RD_OBUF[30]_inst_i_10_n_0 ; - wire \RD_OBUF[30]_inst_i_11_n_0 ; - wire \RD_OBUF[30]_inst_i_2_n_0 ; - wire \RD_OBUF[30]_inst_i_3_n_0 ; - wire \RD_OBUF[30]_inst_i_4_n_0 ; - wire \RD_OBUF[30]_inst_i_5_n_0 ; - wire \RD_OBUF[30]_inst_i_6_n_0 ; - wire \RD_OBUF[30]_inst_i_7_n_0 ; - wire \RD_OBUF[30]_inst_i_8_n_0 ; - wire \RD_OBUF[30]_inst_i_9_n_0 ; - wire \RD_OBUF[31]_inst_i_10_n_0 ; - wire \RD_OBUF[31]_inst_i_11_n_0 ; - wire \RD_OBUF[31]_inst_i_12_n_0 ; - wire \RD_OBUF[31]_inst_i_13_n_0 ; - wire \RD_OBUF[31]_inst_i_3_n_0 ; - wire \RD_OBUF[31]_inst_i_4_n_0 ; - wire \RD_OBUF[31]_inst_i_5_n_0 ; - wire \RD_OBUF[31]_inst_i_6_n_0 ; - wire \RD_OBUF[31]_inst_i_7_n_0 ; - wire \RD_OBUF[31]_inst_i_8_n_0 ; - wire \RD_OBUF[31]_inst_i_9_n_0 ; - wire \RD_OBUF[3]_inst_i_10_n_0 ; - wire \RD_OBUF[3]_inst_i_11_n_0 ; - wire \RD_OBUF[3]_inst_i_12_n_0 ; - wire \RD_OBUF[3]_inst_i_13_n_0 ; - wire \RD_OBUF[3]_inst_i_2_n_0 ; - wire \RD_OBUF[3]_inst_i_3_n_0 ; - wire \RD_OBUF[3]_inst_i_4_n_0 ; - wire \RD_OBUF[3]_inst_i_5_n_0 ; - wire \RD_OBUF[3]_inst_i_6_n_0 ; - wire \RD_OBUF[3]_inst_i_7_n_0 ; - wire \RD_OBUF[3]_inst_i_8_n_0 ; - wire \RD_OBUF[3]_inst_i_9_n_0 ; - wire \RD_OBUF[4]_inst_i_10_n_0 ; - wire \RD_OBUF[4]_inst_i_11_n_0 ; - wire \RD_OBUF[4]_inst_i_12_n_0 ; - wire \RD_OBUF[4]_inst_i_13_n_0 ; - wire \RD_OBUF[4]_inst_i_2_n_0 ; - wire \RD_OBUF[4]_inst_i_3_n_0 ; - wire \RD_OBUF[4]_inst_i_4_n_0 ; - wire \RD_OBUF[4]_inst_i_5_n_0 ; - wire \RD_OBUF[4]_inst_i_6_n_0 ; - wire \RD_OBUF[4]_inst_i_7_n_0 ; - wire \RD_OBUF[4]_inst_i_8_n_0 ; - wire \RD_OBUF[4]_inst_i_9_n_0 ; - wire \RD_OBUF[5]_inst_i_2_n_0 ; - wire \RD_OBUF[5]_inst_i_3_n_0 ; - wire \RD_OBUF[5]_inst_i_4_n_0 ; - wire \RD_OBUF[5]_inst_i_5_n_0 ; - wire \RD_OBUF[6]_inst_i_10_n_0 ; - wire \RD_OBUF[6]_inst_i_11_n_0 ; - wire \RD_OBUF[6]_inst_i_12_n_0 ; - wire \RD_OBUF[6]_inst_i_13_n_0 ; - wire \RD_OBUF[6]_inst_i_2_n_0 ; - wire \RD_OBUF[6]_inst_i_3_n_0 ; - wire \RD_OBUF[6]_inst_i_4_n_0 ; - wire \RD_OBUF[6]_inst_i_5_n_0 ; - wire \RD_OBUF[6]_inst_i_6_n_0 ; - wire \RD_OBUF[6]_inst_i_7_n_0 ; - wire \RD_OBUF[6]_inst_i_8_n_0 ; - wire \RD_OBUF[6]_inst_i_9_n_0 ; - wire \RD_OBUF[7]_inst_i_10_n_0 ; - wire \RD_OBUF[7]_inst_i_11_n_0 ; - wire \RD_OBUF[7]_inst_i_12_n_0 ; - wire \RD_OBUF[7]_inst_i_13_n_0 ; - wire \RD_OBUF[7]_inst_i_2_n_0 ; - wire \RD_OBUF[7]_inst_i_3_n_0 ; - wire \RD_OBUF[7]_inst_i_4_n_0 ; - wire \RD_OBUF[7]_inst_i_5_n_0 ; - wire \RD_OBUF[7]_inst_i_6_n_0 ; - wire \RD_OBUF[7]_inst_i_7_n_0 ; - wire \RD_OBUF[7]_inst_i_8_n_0 ; - wire \RD_OBUF[7]_inst_i_9_n_0 ; - wire \RD_OBUF[8]_inst_i_10_n_0 ; - wire \RD_OBUF[8]_inst_i_11_n_0 ; - wire \RD_OBUF[8]_inst_i_2_n_0 ; - wire \RD_OBUF[8]_inst_i_3_n_0 ; - wire \RD_OBUF[8]_inst_i_4_n_0 ; - wire \RD_OBUF[8]_inst_i_5_n_0 ; - wire \RD_OBUF[8]_inst_i_6_n_0 ; - wire \RD_OBUF[8]_inst_i_7_n_0 ; - wire \RD_OBUF[8]_inst_i_8_n_0 ; - wire \RD_OBUF[8]_inst_i_9_n_0 ; - wire \RD_OBUF[9]_inst_i_10_n_0 ; - wire \RD_OBUF[9]_inst_i_11_n_0 ; - wire \RD_OBUF[9]_inst_i_2_n_0 ; - wire \RD_OBUF[9]_inst_i_3_n_0 ; - wire \RD_OBUF[9]_inst_i_4_n_0 ; - wire \RD_OBUF[9]_inst_i_5_n_0 ; - wire \RD_OBUF[9]_inst_i_6_n_0 ; - wire \RD_OBUF[9]_inst_i_7_n_0 ; - wire \RD_OBUF[9]_inst_i_8_n_0 ; - wire \RD_OBUF[9]_inst_i_9_n_0 ; - wire g0_b0__0_n_0; - wire g0_b0__1_n_0; - wire g0_b0__2_n_0; - wire g0_b0_n_0; - wire g0_b1__0_n_0; - wire g0_b1__1_n_0; - wire g0_b1__2_n_0; - wire g0_b1_n_0; - wire g0_b2__0_n_0; - wire g0_b2__1_n_0; - wire g0_b2__2_n_0; - wire g0_b2_n_0; - wire g0_b3__0_n_0; - wire g0_b3__1_n_0; - wire g0_b3__2_n_0; - wire g0_b3_n_0; - wire g0_b4__0_n_0; - wire g0_b4__1_n_0; - wire g0_b4__2_n_0; - wire g0_b4_n_0; - wire g0_b5__0_n_0; - wire g0_b5__1_n_0; - wire g0_b5__2_n_0; - wire g0_b5_n_0; - wire g0_b6__0_n_0; - wire g0_b6__1_n_0; - wire g0_b6__2_n_0; - wire g0_b6_n_0; - wire g0_b7__0_n_0; - wire g0_b7__1_n_0; - wire g0_b7__2_n_0; - wire g0_b7_n_0; - wire g10_b0__0_n_0; - wire g10_b0__1_n_0; - wire g10_b0__2_n_0; - wire g10_b0_n_0; - wire g10_b1__0_n_0; - wire g10_b1__1_n_0; - wire g10_b1__2_n_0; - wire g10_b1_n_0; - wire g10_b2__0_n_0; - wire g10_b2__1_n_0; - wire g10_b2__2_n_0; - wire g10_b2_n_0; - wire g10_b3__0_n_0; - wire g10_b3__1_n_0; - wire g10_b3__2_n_0; - wire g10_b3_n_0; - wire g10_b4__0_n_0; - wire g10_b4__1_n_0; - wire g10_b4__2_n_0; - wire g10_b4_n_0; - wire g10_b6__0_n_0; - wire g10_b6__1_n_0; - wire g10_b6__2_n_0; - wire g10_b6_n_0; - wire g10_b7__0_n_0; - wire g10_b7__1_n_0; - wire g10_b7__2_n_0; - wire g10_b7_n_0; - wire g11_b0__0_n_0; - wire g11_b0__1_n_0; - wire g11_b0__2_n_0; - wire g11_b0_n_0; - wire g11_b1__0_n_0; - wire g11_b1__1_n_0; - wire g11_b1__2_n_0; - wire g11_b1_n_0; - wire g11_b2__0_n_0; - wire g11_b2__1_n_0; - wire g11_b2__2_n_0; - wire g11_b2_n_0; - wire g11_b3__0_n_0; - wire g11_b3__1_n_0; - wire g11_b3__2_n_0; - wire g11_b3_n_0; - wire g11_b4__0_n_0; - wire g11_b4__1_n_0; - wire g11_b4__2_n_0; - wire g11_b4_n_0; - wire g11_b6__0_n_0; - wire g11_b6__1_n_0; - wire g11_b6__2_n_0; - wire g11_b6_n_0; - wire g11_b7__0_n_0; - wire g11_b7__1_n_0; - wire g11_b7__2_n_0; - wire g11_b7_n_0; - wire g12_b0__0_n_0; - wire g12_b0__1_n_0; - wire g12_b0__2_n_0; - wire g12_b0_n_0; - wire g12_b1__0_n_0; - wire g12_b1__1_n_0; - wire g12_b1__2_n_0; - wire g12_b1_n_0; - wire g12_b2__0_n_0; - wire g12_b2__1_n_0; - wire g12_b2__2_n_0; - wire g12_b2_n_0; - wire g12_b3__0_n_0; - wire g12_b3__1_n_0; - wire g12_b3__2_n_0; - wire g12_b3_n_0; - wire g12_b4__0_n_0; - wire g12_b4__1_n_0; - wire g12_b4__2_n_0; - wire g12_b4_n_0; - wire g12_b6__0_n_0; - wire g12_b6__1_n_0; - wire g12_b6__2_n_0; - wire g12_b6_n_0; - wire g12_b7__0_n_0; - wire g12_b7__1_n_0; - wire g12_b7__2_n_0; - wire g12_b7_n_0; - wire g13_b0__0_n_0; - wire g13_b0__1_n_0; - wire g13_b0__2_n_0; - wire g13_b0_n_0; - wire g13_b1__0_n_0; - wire g13_b1__1_n_0; - wire g13_b1__2_n_0; - wire g13_b1_n_0; - wire g13_b2__0_n_0; - wire g13_b2__1_n_0; - wire g13_b2__2_n_0; - wire g13_b2_n_0; - wire g13_b3__0_n_0; - wire g13_b3__1_n_0; - wire g13_b3__2_n_0; - wire g13_b3_n_0; - wire g13_b4__0_n_0; - wire g13_b4__1_n_0; - wire g13_b4__2_n_0; - wire g13_b4_n_0; - wire g13_b6__0_n_0; - wire g13_b6__1_n_0; - wire g13_b6__2_n_0; - wire g13_b6_n_0; - wire g13_b7__0_n_0; - wire g13_b7__1_n_0; - wire g13_b7__2_n_0; - wire g13_b7_n_0; - wire g14_b0__0_n_0; - wire g14_b0__1_n_0; - wire g14_b0__2_n_0; - wire g14_b0_n_0; - wire g14_b1__0_n_0; - wire g14_b1__1_n_0; - wire g14_b1__2_n_0; - wire g14_b1_n_0; - wire g14_b2__0_n_0; - wire g14_b2__1_n_0; - wire g14_b2__2_n_0; - wire g14_b2_n_0; - wire g14_b3__0_n_0; - wire g14_b3__1_n_0; - wire g14_b3__2_n_0; - wire g14_b3_n_0; - wire g14_b4__0_n_0; - wire g14_b4__1_n_0; - wire g14_b4__2_n_0; - wire g14_b4_n_0; - wire g14_b6__0_n_0; - wire g14_b6__1_n_0; - wire g14_b6__2_n_0; - wire g14_b6_n_0; - wire g14_b7__0_n_0; - wire g14_b7__1_n_0; - wire g14_b7__2_n_0; - wire g14_b7_n_0; - wire g15_b0__0_n_0; - wire g15_b0__1_n_0; - wire g15_b0__2_n_0; - wire g15_b0_n_0; - wire g15_b1__0_n_0; - wire g15_b1__1_n_0; - wire g15_b1__2_n_0; - wire g15_b1_n_0; - wire g15_b2__0_n_0; - wire g15_b2__1_n_0; - wire g15_b2__2_n_0; - wire g15_b2_n_0; - wire g15_b3__0_n_0; - wire g15_b3__1_n_0; - wire g15_b3__2_n_0; - wire g15_b3_n_0; - wire g15_b4__0_n_0; - wire g15_b4__1_n_0; - wire g15_b4__2_n_0; - wire g15_b4_n_0; - wire g15_b6__0_n_0; - wire g15_b6__1_n_0; - wire g15_b6__2_n_0; - wire g15_b6_n_0; - wire g15_b7__0_n_0; - wire g15_b7__1_n_0; - wire g15_b7__2_n_0; - wire g15_b7_i_1__0_n_0; - wire g15_b7_i_1__1_n_0; - wire g15_b7_i_2__0_n_0; - wire g15_b7_i_2_n_0; - wire g15_b7_i_3_n_0; - wire g15_b7_i_4_n_0; - wire g15_b7_i_5_n_0; - wire g15_b7_n_0; - wire g1_b0__0_n_0; - wire g1_b0__1_n_0; - wire g1_b0__2_n_0; - wire g1_b0_n_0; - wire g1_b1__0_n_0; - wire g1_b1__1_n_0; - wire g1_b1__2_n_0; - wire g1_b1_n_0; - wire g1_b2__0_n_0; - wire g1_b2__1_n_0; - wire g1_b2__2_n_0; - wire g1_b2_n_0; - wire g1_b3__0_n_0; - wire g1_b3__1_n_0; - wire g1_b3__2_n_0; - wire g1_b3_n_0; - wire g1_b4__0_n_0; - wire g1_b4__1_n_0; - wire g1_b4__2_n_0; - wire g1_b4_n_0; - wire g1_b5__0_n_0; - wire g1_b5__1_n_0; - wire g1_b5__2_n_0; - wire g1_b5_n_0; - wire g1_b6__0_n_0; - wire g1_b6__1_n_0; - wire g1_b6__2_n_0; - wire g1_b6_n_0; - wire g1_b7__0_n_0; - wire g1_b7__1_n_0; - wire g1_b7__2_n_0; - wire g1_b7_n_0; - wire g2_b0__0_n_0; - wire g2_b0__1_n_0; - wire g2_b0__2_n_0; - wire g2_b0_n_0; - wire g2_b1__0_n_0; - wire g2_b1__1_n_0; - wire g2_b1__2_n_0; - wire g2_b1_n_0; - wire g2_b2__0_n_0; - wire g2_b2__1_n_0; - wire g2_b2__2_n_0; - wire g2_b2_n_0; - wire g2_b3__0_n_0; - wire g2_b3__1_n_0; - wire g2_b3__2_n_0; - wire g2_b3_n_0; - wire g2_b4__0_n_0; - wire g2_b4__1_n_0; - wire g2_b4__2_n_0; - wire g2_b4_n_0; - wire g2_b5__0_n_0; - wire g2_b5__1_n_0; - wire g2_b5__2_n_0; - wire g2_b5_n_0; - wire g2_b6__0_n_0; - wire g2_b6__1_n_0; - wire g2_b6__2_n_0; - wire g2_b6_n_0; - wire g2_b7__0_n_0; - wire g2_b7__1_n_0; - wire g2_b7__2_n_0; - wire g2_b7_n_0; - wire g3_b0__0_n_0; - wire g3_b0__1_n_0; - wire g3_b0__2_n_0; - wire g3_b0_n_0; - wire g3_b1__0_n_0; - wire g3_b1__1_n_0; - wire g3_b1__2_n_0; - wire g3_b1_n_0; - wire g3_b2__0_n_0; - wire g3_b2__1_n_0; - wire g3_b2__2_n_0; - wire g3_b2_n_0; - wire g3_b3__0_n_0; - wire g3_b3__1_n_0; - wire g3_b3__2_n_0; - wire g3_b3_n_0; - wire g3_b4__0_n_0; - wire g3_b4__1_n_0; - wire g3_b4__2_n_0; - wire g3_b4_n_0; - wire g3_b5__0_n_0; - wire g3_b5__1_n_0; - wire g3_b5__2_n_0; - wire g3_b5_n_0; - wire g3_b6__0_n_0; - wire g3_b6__1_n_0; - wire g3_b6__2_n_0; - wire g3_b6_n_0; - wire g3_b7__0_n_0; - wire g3_b7__1_n_0; - wire g3_b7__2_n_0; - wire g3_b7_n_0; - wire g4_b0__0_n_0; - wire g4_b0__1_n_0; - wire g4_b0__2_n_0; - wire g4_b0_n_0; - wire g4_b1__0_n_0; - wire g4_b1__1_n_0; - wire g4_b1__2_n_0; - wire g4_b1_n_0; - wire g4_b2__0_n_0; - wire g4_b2__1_n_0; - wire g4_b2__2_n_0; - wire g4_b2_n_0; - wire g4_b3__0_n_0; - wire g4_b3__1_n_0; - wire g4_b3__2_n_0; - wire g4_b3_n_0; - wire g4_b4__0_n_0; - wire g4_b4__1_n_0; - wire g4_b4__2_n_0; - wire g4_b4_n_0; - wire g4_b5__0_n_0; - wire g4_b5__1_n_0; - wire g4_b5__2_n_0; - wire g4_b5_n_0; - wire g4_b6__0_n_0; - wire g4_b6__1_n_0; - wire g4_b6__2_n_0; - wire g4_b6_n_0; - wire g4_b7__0_n_0; - wire g4_b7__1_n_0; - wire g4_b7__2_n_0; - wire g4_b7_n_0; - wire g5_b0__0_n_0; - wire g5_b0__1_n_0; - wire g5_b0__2_n_0; - wire g5_b0_n_0; - wire g5_b1__0_n_0; - wire g5_b1__1_n_0; - wire g5_b1__2_n_0; - wire g5_b1_n_0; - wire g5_b2__0_n_0; - wire g5_b2__1_n_0; - wire g5_b2__2_n_0; - wire g5_b2_n_0; - wire g5_b3__0_n_0; - wire g5_b3__1_n_0; - wire g5_b3__2_n_0; - wire g5_b3_n_0; - wire g5_b4__0_n_0; - wire g5_b4__1_n_0; - wire g5_b4__2_n_0; - wire g5_b4_n_0; - wire g5_b5__0_n_0; - wire g5_b5__1_n_0; - wire g5_b5__2_n_0; - wire g5_b5_n_0; - wire g5_b6__0_n_0; - wire g5_b6__1_n_0; - wire g5_b6__2_n_0; - wire g5_b6_n_0; - wire g5_b7__0_n_0; - wire g5_b7__1_n_0; - wire g5_b7__2_n_0; - wire g5_b7_n_0; - wire g6_b0__0_n_0; - wire g6_b0__1_n_0; - wire g6_b0__2_n_0; - wire g6_b0_n_0; - wire g6_b1__0_n_0; - wire g6_b1__1_n_0; - wire g6_b1__2_n_0; - wire g6_b1_n_0; - wire g6_b2__0_n_0; - wire g6_b2__1_n_0; - wire g6_b2__2_n_0; - wire g6_b2_n_0; - wire g6_b3__0_n_0; - wire g6_b3__1_n_0; - wire g6_b3__2_n_0; - wire g6_b3_n_0; - wire g6_b4__0_n_0; - wire g6_b4__1_n_0; - wire g6_b4__2_n_0; - wire g6_b4_n_0; - wire g6_b5__0_n_0; - wire g6_b5__1_n_0; - wire g6_b5__2_n_0; - wire g6_b5_n_0; - wire g6_b6__0_n_0; - wire g6_b6__1_n_0; - wire g6_b6__2_n_0; - wire g6_b6_n_0; - wire g6_b7__0_n_0; - wire g6_b7__1_n_0; - wire g6_b7__2_n_0; - wire g6_b7_n_0; - wire g7_b0__0_n_0; - wire g7_b0__1_n_0; - wire g7_b0__2_n_0; - wire g7_b0_n_0; - wire g7_b1__0_n_0; - wire g7_b1__1_n_0; - wire g7_b1__2_n_0; - wire g7_b1_n_0; - wire g7_b2__0_n_0; - wire g7_b2__1_n_0; - wire g7_b2__2_n_0; - wire g7_b2_n_0; - wire g7_b3__0_n_0; - wire g7_b3__1_n_0; - wire g7_b3__2_n_0; - wire g7_b3_n_0; - wire g7_b4__0_n_0; - wire g7_b4__1_n_0; - wire g7_b4__2_n_0; - wire g7_b4_n_0; - wire g7_b5__0_n_0; - wire g7_b5__1_n_0; - wire g7_b5__2_n_0; - wire g7_b5_n_0; - wire g7_b6__0_n_0; - wire g7_b6__1_n_0; - wire g7_b6__2_n_0; - wire g7_b6_n_0; - wire g7_b7__0_n_0; - wire g7_b7__1_n_0; - wire g7_b7__2_n_0; - wire g7_b7_n_0; - wire g8_b0__0_n_0; - wire g8_b0__1_n_0; - wire g8_b0__2_n_0; - wire g8_b0_n_0; - wire g8_b1__0_n_0; - wire g8_b1__1_n_0; - wire g8_b1__2_n_0; - wire g8_b1_n_0; - wire g8_b2__0_n_0; - wire g8_b2__1_n_0; - wire g8_b2__2_n_0; - wire g8_b2_n_0; - wire g8_b3__0_n_0; - wire g8_b3__1_n_0; - wire g8_b3__2_n_0; - wire g8_b3_n_0; - wire g8_b4__0_n_0; - wire g8_b4__1_n_0; - wire g8_b4__2_n_0; - wire g8_b4_n_0; - wire g8_b6__0_n_0; - wire g8_b6__1_n_0; - wire g8_b6__2_n_0; - wire g8_b6_n_0; - wire g8_b7__0_n_0; - wire g8_b7__1_n_0; - wire g8_b7__2_n_0; - wire g8_b7_n_0; - wire g9_b0__0_n_0; - wire g9_b0__1_n_0; - wire g9_b0__2_n_0; - wire g9_b0_n_0; - wire g9_b1__0_n_0; - wire g9_b1__1_n_0; - wire g9_b1__2_n_0; - wire g9_b1_n_0; - wire g9_b2__0_n_0; - wire g9_b2__1_n_0; - wire g9_b2__2_n_0; - wire g9_b2_n_0; - wire g9_b3__0_n_0; - wire g9_b3__1_n_0; - wire g9_b3__2_n_0; - wire g9_b3_n_0; - wire g9_b4__0_n_0; - wire g9_b4__1_n_0; - wire g9_b4__2_n_0; - wire g9_b4_n_0; - wire g9_b6__0_n_0; - wire g9_b6__1_n_0; - wire g9_b6__2_n_0; - wire g9_b6_n_0; - wire g9_b7__0_n_0; - wire g9_b7__1_n_0; - wire g9_b7__2_n_0; - wire g9_b7_n_0; - wire [9:1]sel; +reg [31:0] RAM [0:1023]; +initial $readmemh("program.txt", RAM); - IBUF \A_IBUF[0]_inst - (.I(A[0]), - .O(A_IBUF[0])); - IBUF \A_IBUF[1]_inst - (.I(A[1]), - .O(A_IBUF[1])); - IBUF \A_IBUF[2]_inst - (.I(A[2]), - .O(A_IBUF[2])); - IBUF \A_IBUF[3]_inst - (.I(A[3]), - .O(A_IBUF[3])); - IBUF \A_IBUF[4]_inst - (.I(A[4]), - .O(A_IBUF[4])); - IBUF \A_IBUF[5]_inst - (.I(A[5]), - .O(A_IBUF[5])); - IBUF \A_IBUF[6]_inst - (.I(A[6]), - .O(A_IBUF[6])); - IBUF \A_IBUF[7]_inst - (.I(A[7]), - .O(A_IBUF[7])); - IBUF \A_IBUF[8]_inst - (.I(A[8]), - .O(A_IBUF[8])); - IBUF \A_IBUF[9]_inst - (.I(A[9]), - .O(A_IBUF[9])); - OBUF \RD_OBUF[0]_inst - (.I(RD_OBUF[0]), - .O(RD[0])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[0]_inst_i_1 - (.I0(\RD_OBUF[0]_inst_i_2_n_0 ), - .I1(\RD_OBUF[0]_inst_i_3_n_0 ), - .I2(A_IBUF[9]), - .I3(\RD_OBUF[0]_inst_i_4_n_0 ), - .I4(A_IBUF[8]), - .I5(\RD_OBUF[0]_inst_i_5_n_0 ), - .O(RD_OBUF[0])); - MUXF7 \RD_OBUF[0]_inst_i_10 - (.I0(g4_b0_n_0), - .I1(g5_b0_n_0), - .O(\RD_OBUF[0]_inst_i_10_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[0]_inst_i_11 - (.I0(g6_b0_n_0), - .I1(g7_b0_n_0), - .O(\RD_OBUF[0]_inst_i_11_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[0]_inst_i_12 - (.I0(g0_b0_n_0), - .I1(g1_b0_n_0), - .O(\RD_OBUF[0]_inst_i_12_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[0]_inst_i_13 - (.I0(g2_b0_n_0), - .I1(g3_b0_n_0), - .O(\RD_OBUF[0]_inst_i_13_n_0 ), - .S(A_IBUF[6])); - MUXF8 \RD_OBUF[0]_inst_i_2 - (.I0(\RD_OBUF[0]_inst_i_6_n_0 ), - .I1(\RD_OBUF[0]_inst_i_7_n_0 ), - .O(\RD_OBUF[0]_inst_i_2_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[0]_inst_i_3 - (.I0(\RD_OBUF[0]_inst_i_8_n_0 ), - .I1(\RD_OBUF[0]_inst_i_9_n_0 ), - .O(\RD_OBUF[0]_inst_i_3_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[0]_inst_i_4 - (.I0(\RD_OBUF[0]_inst_i_10_n_0 ), - .I1(\RD_OBUF[0]_inst_i_11_n_0 ), - .O(\RD_OBUF[0]_inst_i_4_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[0]_inst_i_5 - (.I0(\RD_OBUF[0]_inst_i_12_n_0 ), - .I1(\RD_OBUF[0]_inst_i_13_n_0 ), - .O(\RD_OBUF[0]_inst_i_5_n_0 ), - .S(A_IBUF[7])); - MUXF7 \RD_OBUF[0]_inst_i_6 - (.I0(g12_b0_n_0), - .I1(g13_b0_n_0), - .O(\RD_OBUF[0]_inst_i_6_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[0]_inst_i_7 - (.I0(g14_b0_n_0), - .I1(g15_b0_n_0), - .O(\RD_OBUF[0]_inst_i_7_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[0]_inst_i_8 - (.I0(g8_b0_n_0), - .I1(g9_b0_n_0), - .O(\RD_OBUF[0]_inst_i_8_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[0]_inst_i_9 - (.I0(g10_b0_n_0), - .I1(g11_b0_n_0), - .O(\RD_OBUF[0]_inst_i_9_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[10]_inst - (.I(RD_OBUF[10]), - .O(RD[10])); - MUXF7 \RD_OBUF[10]_inst_i_1 - (.I0(\RD_OBUF[10]_inst_i_2_n_0 ), - .I1(\RD_OBUF[10]_inst_i_3_n_0 ), - .O(RD_OBUF[10]), - .S(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_10 - (.I0(g11_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_11 - (.I0(g9_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[10]_inst_i_2 - (.I0(\RD_OBUF[10]_inst_i_4_n_0 ), - .I1(\RD_OBUF[10]_inst_i_5_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[10]_inst_i_6_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[10]_inst_i_7_n_0 ), - .O(\RD_OBUF[10]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[10]_inst_i_3 - (.I0(\RD_OBUF[10]_inst_i_8_n_0 ), - .I1(\RD_OBUF[10]_inst_i_9_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[10]_inst_i_10_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[10]_inst_i_11_n_0 ), - .O(\RD_OBUF[10]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_4 - (.I0(g7_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_5 - (.I0(g5_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_6 - (.I0(g3_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_7 - (.I0(g1_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_8 - (.I0(g15_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[10]_inst_i_9 - (.I0(g13_b2__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b2__2_n_0), - .O(\RD_OBUF[10]_inst_i_9_n_0 )); - OBUF \RD_OBUF[11]_inst - (.I(RD_OBUF[11]), - .O(RD[11])); - MUXF7 \RD_OBUF[11]_inst_i_1 - (.I0(\RD_OBUF[11]_inst_i_2_n_0 ), - .I1(\RD_OBUF[11]_inst_i_3_n_0 ), - .O(RD_OBUF[11]), - .S(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_10 - (.I0(g11_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_11 - (.I0(g9_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[11]_inst_i_2 - (.I0(\RD_OBUF[11]_inst_i_4_n_0 ), - .I1(\RD_OBUF[11]_inst_i_5_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[11]_inst_i_6_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[11]_inst_i_7_n_0 ), - .O(\RD_OBUF[11]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[11]_inst_i_3 - (.I0(\RD_OBUF[11]_inst_i_8_n_0 ), - .I1(\RD_OBUF[11]_inst_i_9_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[11]_inst_i_10_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[11]_inst_i_11_n_0 ), - .O(\RD_OBUF[11]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_4 - (.I0(g7_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_5 - (.I0(g5_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_6 - (.I0(g3_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_7 - (.I0(g1_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_8 - (.I0(g15_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[11]_inst_i_9 - (.I0(g13_b3__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b3__2_n_0), - .O(\RD_OBUF[11]_inst_i_9_n_0 )); - OBUF \RD_OBUF[12]_inst - (.I(RD_OBUF[12]), - .O(RD[12])); - MUXF7 \RD_OBUF[12]_inst_i_1 - (.I0(\RD_OBUF[12]_inst_i_2_n_0 ), - .I1(\RD_OBUF[12]_inst_i_3_n_0 ), - .O(RD_OBUF[12]), - .S(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_10 - (.I0(g11_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_11 - (.I0(g9_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[12]_inst_i_2 - (.I0(\RD_OBUF[12]_inst_i_4_n_0 ), - .I1(\RD_OBUF[12]_inst_i_5_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[12]_inst_i_6_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[12]_inst_i_7_n_0 ), - .O(\RD_OBUF[12]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[12]_inst_i_3 - (.I0(\RD_OBUF[12]_inst_i_8_n_0 ), - .I1(\RD_OBUF[12]_inst_i_9_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[12]_inst_i_10_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[12]_inst_i_11_n_0 ), - .O(\RD_OBUF[12]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_4 - (.I0(g7_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_5 - (.I0(g5_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_6 - (.I0(g3_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_7 - (.I0(g1_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_8 - (.I0(g15_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[12]_inst_i_9 - (.I0(g13_b4__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b4__2_n_0), - .O(\RD_OBUF[12]_inst_i_9_n_0 )); - OBUF \RD_OBUF[13]_inst - (.I(RD_OBUF[13]), - .O(RD[13])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[13]_inst_i_1 - (.I0(\RD_OBUF[13]_inst_i_2_n_0 ), - .I1(\RD_OBUF[13]_inst_i_3_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[13]_inst_i_5_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[13]_inst_i_7_n_0 ), - .O(RD_OBUF[13])); - MUXF7 \RD_OBUF[13]_inst_i_2 - (.I0(g6_b5__2_n_0), - .I1(g7_b5__2_n_0), - .O(\RD_OBUF[13]_inst_i_2_n_0 ), - .S(\RD_OBUF[13]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[13]_inst_i_3 - (.I0(g4_b5__2_n_0), - .I1(g5_b5__2_n_0), - .O(\RD_OBUF[13]_inst_i_3_n_0 ), - .S(\RD_OBUF[13]_inst_i_8_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT4 #( - .INIT(16'h7F80)) - \RD_OBUF[13]_inst_i_4 - (.I0(A_IBUF[6]), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[7]), - .I3(A_IBUF[8]), - .O(\RD_OBUF[13]_inst_i_4_n_0 )); - MUXF7 \RD_OBUF[13]_inst_i_5 - (.I0(g2_b5__2_n_0), - .I1(g3_b5__2_n_0), - .O(\RD_OBUF[13]_inst_i_5_n_0 ), - .S(\RD_OBUF[13]_inst_i_8_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT3 #( - .INIT(8'h78)) - \RD_OBUF[13]_inst_i_6 - (.I0(\RD_OBUF[15]_inst_i_5_n_0 ), - .I1(A_IBUF[6]), - .I2(A_IBUF[7]), - .O(\RD_OBUF[13]_inst_i_6_n_0 )); - MUXF7 \RD_OBUF[13]_inst_i_7 - (.I0(g0_b5__2_n_0), - .I1(g1_b5__2_n_0), - .O(\RD_OBUF[13]_inst_i_7_n_0 ), - .S(\RD_OBUF[13]_inst_i_8_n_0 )); - LUT2 #( - .INIT(4'h6)) - \RD_OBUF[13]_inst_i_8 - (.I0(\RD_OBUF[15]_inst_i_5_n_0 ), - .I1(A_IBUF[6]), - .O(\RD_OBUF[13]_inst_i_8_n_0 )); - OBUF \RD_OBUF[14]_inst - (.I(RD_OBUF[14]), - .O(RD[14])); - MUXF7 \RD_OBUF[14]_inst_i_1 - (.I0(\RD_OBUF[14]_inst_i_2_n_0 ), - .I1(\RD_OBUF[14]_inst_i_3_n_0 ), - .O(RD_OBUF[14]), - .S(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_10 - (.I0(g11_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_11 - (.I0(g9_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[14]_inst_i_2 - (.I0(\RD_OBUF[14]_inst_i_4_n_0 ), - .I1(\RD_OBUF[14]_inst_i_5_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[14]_inst_i_6_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[14]_inst_i_7_n_0 ), - .O(\RD_OBUF[14]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[14]_inst_i_3 - (.I0(\RD_OBUF[14]_inst_i_8_n_0 ), - .I1(\RD_OBUF[14]_inst_i_9_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[14]_inst_i_10_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[14]_inst_i_11_n_0 ), - .O(\RD_OBUF[14]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_4 - (.I0(g7_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_5 - (.I0(g5_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_6 - (.I0(g3_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_7 - (.I0(g1_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_8 - (.I0(g15_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[14]_inst_i_9 - (.I0(g13_b6__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b6__2_n_0), - .O(\RD_OBUF[14]_inst_i_9_n_0 )); - OBUF \RD_OBUF[15]_inst - (.I(RD_OBUF[15]), - .O(RD[15])); - MUXF7 \RD_OBUF[15]_inst_i_1 - (.I0(\RD_OBUF[15]_inst_i_3_n_0 ), - .I1(\RD_OBUF[15]_inst_i_4_n_0 ), - .O(RD_OBUF[15]), - .S(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_10 - (.I0(g15_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_11 - (.I0(g13_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_11_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_12 - (.I0(g11_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_12_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_13 - (.I0(g9_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_13_n_0 )); - LUT5 #( - .INIT(32'h7FFF8000)) - \RD_OBUF[15]_inst_i_2 - (.I0(A_IBUF[7]), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(A_IBUF[8]), - .I4(A_IBUF[9]), - .O(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[15]_inst_i_3 - (.I0(\RD_OBUF[15]_inst_i_6_n_0 ), - .I1(\RD_OBUF[15]_inst_i_7_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[15]_inst_i_8_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[15]_inst_i_9_n_0 ), - .O(\RD_OBUF[15]_inst_i_3_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[15]_inst_i_4 - (.I0(\RD_OBUF[15]_inst_i_10_n_0 ), - .I1(\RD_OBUF[15]_inst_i_11_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[15]_inst_i_12_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[15]_inst_i_13_n_0 ), - .O(\RD_OBUF[15]_inst_i_4_n_0 )); - LUT6 #( - .INIT(64'h8000000000000000)) - \RD_OBUF[15]_inst_i_5 - (.I0(A_IBUF[5]), - .I1(A_IBUF[3]), - .I2(A_IBUF[1]), - .I3(A_IBUF[0]), - .I4(A_IBUF[2]), - .I5(A_IBUF[4]), - .O(\RD_OBUF[15]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_6 - (.I0(g7_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_7 - (.I0(g5_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_8 - (.I0(g3_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[15]_inst_i_9 - (.I0(g1_b7__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b7__2_n_0), - .O(\RD_OBUF[15]_inst_i_9_n_0 )); - OBUF \RD_OBUF[16]_inst - (.I(RD_OBUF[16]), - .O(RD[16])); - MUXF7 \RD_OBUF[16]_inst_i_1 - (.I0(\RD_OBUF[16]_inst_i_2_n_0 ), - .I1(\RD_OBUF[16]_inst_i_3_n_0 ), - .O(RD_OBUF[16]), - .S(\RD_OBUF[23]_inst_i_2_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_10 - (.I0(g10_b0__0_n_0), - .I1(g11_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_10_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_11 - (.I0(g8_b0__0_n_0), - .I1(g9_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_11_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[16]_inst_i_2 - (.I0(\RD_OBUF[16]_inst_i_4_n_0 ), - .I1(\RD_OBUF[16]_inst_i_5_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[16]_inst_i_6_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[16]_inst_i_7_n_0 ), - .O(\RD_OBUF[16]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[16]_inst_i_3 - (.I0(\RD_OBUF[16]_inst_i_8_n_0 ), - .I1(\RD_OBUF[16]_inst_i_9_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[16]_inst_i_10_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[16]_inst_i_11_n_0 ), - .O(\RD_OBUF[16]_inst_i_3_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_4 - (.I0(g6_b0__0_n_0), - .I1(g7_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_4_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_5 - (.I0(g4_b0__0_n_0), - .I1(g5_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_5_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_6 - (.I0(g2_b0__0_n_0), - .I1(g3_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_6_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_7 - (.I0(g0_b0__0_n_0), - .I1(g1_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_8 - (.I0(g14_b0__0_n_0), - .I1(g15_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_8_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[16]_inst_i_9 - (.I0(g12_b0__0_n_0), - .I1(g13_b0__0_n_0), - .O(\RD_OBUF[16]_inst_i_9_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[17]_inst - (.I(RD_OBUF[17]), - .O(RD[17])); - MUXF7 \RD_OBUF[17]_inst_i_1 - (.I0(\RD_OBUF[17]_inst_i_2_n_0 ), - .I1(\RD_OBUF[17]_inst_i_3_n_0 ), - .O(RD_OBUF[17]), - .S(\RD_OBUF[23]_inst_i_2_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_10 - (.I0(g10_b1__0_n_0), - .I1(g11_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_10_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_11 - (.I0(g8_b1__0_n_0), - .I1(g9_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_11_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[17]_inst_i_2 - (.I0(\RD_OBUF[17]_inst_i_4_n_0 ), - .I1(\RD_OBUF[17]_inst_i_5_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[17]_inst_i_6_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[17]_inst_i_7_n_0 ), - .O(\RD_OBUF[17]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[17]_inst_i_3 - (.I0(\RD_OBUF[17]_inst_i_8_n_0 ), - .I1(\RD_OBUF[17]_inst_i_9_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[17]_inst_i_10_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[17]_inst_i_11_n_0 ), - .O(\RD_OBUF[17]_inst_i_3_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_4 - (.I0(g6_b1__0_n_0), - .I1(g7_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_4_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_5 - (.I0(g4_b1__0_n_0), - .I1(g5_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_5_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_6 - (.I0(g2_b1__0_n_0), - .I1(g3_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_6_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_7 - (.I0(g0_b1__0_n_0), - .I1(g1_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_8 - (.I0(g14_b1__0_n_0), - .I1(g15_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_8_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[17]_inst_i_9 - (.I0(g12_b1__0_n_0), - .I1(g13_b1__0_n_0), - .O(\RD_OBUF[17]_inst_i_9_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[18]_inst - (.I(RD_OBUF[18]), - .O(RD[18])); - MUXF7 \RD_OBUF[18]_inst_i_1 - (.I0(\RD_OBUF[18]_inst_i_2_n_0 ), - .I1(\RD_OBUF[18]_inst_i_3_n_0 ), - .O(RD_OBUF[18]), - .S(\RD_OBUF[23]_inst_i_2_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_10 - (.I0(g10_b2__0_n_0), - .I1(g11_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_10_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_11 - (.I0(g8_b2__0_n_0), - .I1(g9_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_11_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[18]_inst_i_2 - (.I0(\RD_OBUF[18]_inst_i_4_n_0 ), - .I1(\RD_OBUF[18]_inst_i_5_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[18]_inst_i_6_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[18]_inst_i_7_n_0 ), - .O(\RD_OBUF[18]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[18]_inst_i_3 - (.I0(\RD_OBUF[18]_inst_i_8_n_0 ), - .I1(\RD_OBUF[18]_inst_i_9_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[18]_inst_i_10_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[18]_inst_i_11_n_0 ), - .O(\RD_OBUF[18]_inst_i_3_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_4 - (.I0(g6_b2__0_n_0), - .I1(g7_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_4_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_5 - (.I0(g4_b2__0_n_0), - .I1(g5_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_5_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_6 - (.I0(g2_b2__0_n_0), - .I1(g3_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_6_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_7 - (.I0(g0_b2__0_n_0), - .I1(g1_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_8 - (.I0(g14_b2__0_n_0), - .I1(g15_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_8_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[18]_inst_i_9 - (.I0(g12_b2__0_n_0), - .I1(g13_b2__0_n_0), - .O(\RD_OBUF[18]_inst_i_9_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[19]_inst - (.I(RD_OBUF[19]), - .O(RD[19])); - MUXF7 \RD_OBUF[19]_inst_i_1 - (.I0(\RD_OBUF[19]_inst_i_2_n_0 ), - .I1(\RD_OBUF[19]_inst_i_3_n_0 ), - .O(RD_OBUF[19]), - .S(\RD_OBUF[23]_inst_i_2_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_10 - (.I0(g10_b3__0_n_0), - .I1(g11_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_10_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_11 - (.I0(g8_b3__0_n_0), - .I1(g9_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_11_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[19]_inst_i_2 - (.I0(\RD_OBUF[19]_inst_i_4_n_0 ), - .I1(\RD_OBUF[19]_inst_i_5_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[19]_inst_i_6_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[19]_inst_i_7_n_0 ), - .O(\RD_OBUF[19]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[19]_inst_i_3 - (.I0(\RD_OBUF[19]_inst_i_8_n_0 ), - .I1(\RD_OBUF[19]_inst_i_9_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[19]_inst_i_10_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[19]_inst_i_11_n_0 ), - .O(\RD_OBUF[19]_inst_i_3_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_4 - (.I0(g6_b3__0_n_0), - .I1(g7_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_4_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_5 - (.I0(g4_b3__0_n_0), - .I1(g5_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_5_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_6 - (.I0(g2_b3__0_n_0), - .I1(g3_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_6_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_7 - (.I0(g0_b3__0_n_0), - .I1(g1_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_8 - (.I0(g14_b3__0_n_0), - .I1(g15_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_8_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[19]_inst_i_9 - (.I0(g12_b3__0_n_0), - .I1(g13_b3__0_n_0), - .O(\RD_OBUF[19]_inst_i_9_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[1]_inst - (.I(RD_OBUF[1]), - .O(RD[1])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[1]_inst_i_1 - (.I0(\RD_OBUF[1]_inst_i_2_n_0 ), - .I1(\RD_OBUF[1]_inst_i_3_n_0 ), - .I2(A_IBUF[9]), - .I3(\RD_OBUF[1]_inst_i_4_n_0 ), - .I4(A_IBUF[8]), - .I5(\RD_OBUF[1]_inst_i_5_n_0 ), - .O(RD_OBUF[1])); - MUXF7 \RD_OBUF[1]_inst_i_10 - (.I0(g4_b1_n_0), - .I1(g5_b1_n_0), - .O(\RD_OBUF[1]_inst_i_10_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[1]_inst_i_11 - (.I0(g6_b1_n_0), - .I1(g7_b1_n_0), - .O(\RD_OBUF[1]_inst_i_11_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[1]_inst_i_12 - (.I0(g0_b1_n_0), - .I1(g1_b1_n_0), - .O(\RD_OBUF[1]_inst_i_12_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[1]_inst_i_13 - (.I0(g2_b1_n_0), - .I1(g3_b1_n_0), - .O(\RD_OBUF[1]_inst_i_13_n_0 ), - .S(A_IBUF[6])); - MUXF8 \RD_OBUF[1]_inst_i_2 - (.I0(\RD_OBUF[1]_inst_i_6_n_0 ), - .I1(\RD_OBUF[1]_inst_i_7_n_0 ), - .O(\RD_OBUF[1]_inst_i_2_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[1]_inst_i_3 - (.I0(\RD_OBUF[1]_inst_i_8_n_0 ), - .I1(\RD_OBUF[1]_inst_i_9_n_0 ), - .O(\RD_OBUF[1]_inst_i_3_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[1]_inst_i_4 - (.I0(\RD_OBUF[1]_inst_i_10_n_0 ), - .I1(\RD_OBUF[1]_inst_i_11_n_0 ), - .O(\RD_OBUF[1]_inst_i_4_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[1]_inst_i_5 - (.I0(\RD_OBUF[1]_inst_i_12_n_0 ), - .I1(\RD_OBUF[1]_inst_i_13_n_0 ), - .O(\RD_OBUF[1]_inst_i_5_n_0 ), - .S(A_IBUF[7])); - MUXF7 \RD_OBUF[1]_inst_i_6 - (.I0(g12_b1_n_0), - .I1(g13_b1_n_0), - .O(\RD_OBUF[1]_inst_i_6_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[1]_inst_i_7 - (.I0(g14_b1_n_0), - .I1(g15_b1_n_0), - .O(\RD_OBUF[1]_inst_i_7_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[1]_inst_i_8 - (.I0(g8_b1_n_0), - .I1(g9_b1_n_0), - .O(\RD_OBUF[1]_inst_i_8_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[1]_inst_i_9 - (.I0(g10_b1_n_0), - .I1(g11_b1_n_0), - .O(\RD_OBUF[1]_inst_i_9_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[20]_inst - (.I(RD_OBUF[20]), - .O(RD[20])); - MUXF7 \RD_OBUF[20]_inst_i_1 - (.I0(\RD_OBUF[20]_inst_i_2_n_0 ), - .I1(\RD_OBUF[20]_inst_i_3_n_0 ), - .O(RD_OBUF[20]), - .S(\RD_OBUF[23]_inst_i_2_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_10 - (.I0(g10_b4__0_n_0), - .I1(g11_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_10_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_11 - (.I0(g8_b4__0_n_0), - .I1(g9_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_11_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[20]_inst_i_2 - (.I0(\RD_OBUF[20]_inst_i_4_n_0 ), - .I1(\RD_OBUF[20]_inst_i_5_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[20]_inst_i_6_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[20]_inst_i_7_n_0 ), - .O(\RD_OBUF[20]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[20]_inst_i_3 - (.I0(\RD_OBUF[20]_inst_i_8_n_0 ), - .I1(\RD_OBUF[20]_inst_i_9_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[20]_inst_i_10_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[20]_inst_i_11_n_0 ), - .O(\RD_OBUF[20]_inst_i_3_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_4 - (.I0(g6_b4__0_n_0), - .I1(g7_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_4_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_5 - (.I0(g4_b4__0_n_0), - .I1(g5_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_5_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_6 - (.I0(g2_b4__0_n_0), - .I1(g3_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_6_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_7 - (.I0(g0_b4__0_n_0), - .I1(g1_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_8 - (.I0(g14_b4__0_n_0), - .I1(g15_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_8_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[20]_inst_i_9 - (.I0(g12_b4__0_n_0), - .I1(g13_b4__0_n_0), - .O(\RD_OBUF[20]_inst_i_9_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[21]_inst - (.I(RD_OBUF[21]), - .O(RD[21])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[21]_inst_i_1 - (.I0(\RD_OBUF[21]_inst_i_2_n_0 ), - .I1(\RD_OBUF[21]_inst_i_3_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[21]_inst_i_5_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[21]_inst_i_7_n_0 ), - .O(RD_OBUF[21])); - MUXF7 \RD_OBUF[21]_inst_i_2 - (.I0(g6_b5__0_n_0), - .I1(g7_b5__0_n_0), - .O(\RD_OBUF[21]_inst_i_2_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[21]_inst_i_3 - (.I0(g4_b5__0_n_0), - .I1(g5_b5__0_n_0), - .O(\RD_OBUF[21]_inst_i_3_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'h78)) - \RD_OBUF[21]_inst_i_4 - (.I0(\RD_OBUF[23]_inst_i_5_n_0 ), - .I1(A_IBUF[7]), - .I2(A_IBUF[8]), - .O(\RD_OBUF[21]_inst_i_4_n_0 )); - MUXF7 \RD_OBUF[21]_inst_i_5 - (.I0(g2_b5__0_n_0), - .I1(g3_b5__0_n_0), - .O(\RD_OBUF[21]_inst_i_5_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT2 #( - .INIT(4'h6)) - \RD_OBUF[21]_inst_i_6 - (.I0(\RD_OBUF[23]_inst_i_5_n_0 ), - .I1(A_IBUF[7]), - .O(\RD_OBUF[21]_inst_i_6_n_0 )); - MUXF7 \RD_OBUF[21]_inst_i_7 - (.I0(g0_b5__0_n_0), - .I1(g1_b5__0_n_0), - .O(\RD_OBUF[21]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT6 #( - .INIT(64'h7FFFFFFF80000000)) - \RD_OBUF[21]_inst_i_8 - (.I0(A_IBUF[4]), - .I1(A_IBUF[2]), - .I2(A_IBUF[1]), - .I3(A_IBUF[3]), - .I4(A_IBUF[5]), - .I5(A_IBUF[6]), - .O(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[22]_inst - (.I(RD_OBUF[22]), - .O(RD[22])); - MUXF7 \RD_OBUF[22]_inst_i_1 - (.I0(\RD_OBUF[22]_inst_i_2_n_0 ), - .I1(\RD_OBUF[22]_inst_i_3_n_0 ), - .O(RD_OBUF[22]), - .S(\RD_OBUF[23]_inst_i_2_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_10 - (.I0(g10_b6__0_n_0), - .I1(g11_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_10_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_11 - (.I0(g8_b6__0_n_0), - .I1(g9_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_11_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[22]_inst_i_2 - (.I0(\RD_OBUF[22]_inst_i_4_n_0 ), - .I1(\RD_OBUF[22]_inst_i_5_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[22]_inst_i_6_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[22]_inst_i_7_n_0 ), - .O(\RD_OBUF[22]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[22]_inst_i_3 - (.I0(\RD_OBUF[22]_inst_i_8_n_0 ), - .I1(\RD_OBUF[22]_inst_i_9_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[22]_inst_i_10_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[22]_inst_i_11_n_0 ), - .O(\RD_OBUF[22]_inst_i_3_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_4 - (.I0(g6_b6__0_n_0), - .I1(g7_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_4_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_5 - (.I0(g4_b6__0_n_0), - .I1(g5_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_5_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_6 - (.I0(g2_b6__0_n_0), - .I1(g3_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_6_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_7 - (.I0(g0_b6__0_n_0), - .I1(g1_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_8 - (.I0(g14_b6__0_n_0), - .I1(g15_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_8_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[22]_inst_i_9 - (.I0(g12_b6__0_n_0), - .I1(g13_b6__0_n_0), - .O(\RD_OBUF[22]_inst_i_9_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[23]_inst - (.I(RD_OBUF[23]), - .O(RD[23])); - MUXF7 \RD_OBUF[23]_inst_i_1 - (.I0(\RD_OBUF[23]_inst_i_3_n_0 ), - .I1(\RD_OBUF[23]_inst_i_4_n_0 ), - .O(RD_OBUF[23]), - .S(\RD_OBUF[23]_inst_i_2_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_10 - (.I0(g14_b7__0_n_0), - .I1(g15_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_10_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_11 - (.I0(g12_b7__0_n_0), - .I1(g13_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_11_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_12 - (.I0(g10_b7__0_n_0), - .I1(g11_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_12_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_13 - (.I0(g8_b7__0_n_0), - .I1(g9_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_13_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'h7F80)) - \RD_OBUF[23]_inst_i_2 - (.I0(A_IBUF[7]), - .I1(\RD_OBUF[23]_inst_i_5_n_0 ), - .I2(A_IBUF[8]), - .I3(A_IBUF[9]), - .O(\RD_OBUF[23]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[23]_inst_i_3 - (.I0(\RD_OBUF[23]_inst_i_6_n_0 ), - .I1(\RD_OBUF[23]_inst_i_7_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[23]_inst_i_8_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[23]_inst_i_9_n_0 ), - .O(\RD_OBUF[23]_inst_i_3_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[23]_inst_i_4 - (.I0(\RD_OBUF[23]_inst_i_10_n_0 ), - .I1(\RD_OBUF[23]_inst_i_11_n_0 ), - .I2(\RD_OBUF[21]_inst_i_4_n_0 ), - .I3(\RD_OBUF[23]_inst_i_12_n_0 ), - .I4(\RD_OBUF[21]_inst_i_6_n_0 ), - .I5(\RD_OBUF[23]_inst_i_13_n_0 ), - .O(\RD_OBUF[23]_inst_i_4_n_0 )); - LUT6 #( - .INIT(64'h8000000000000000)) - \RD_OBUF[23]_inst_i_5 - (.I0(A_IBUF[6]), - .I1(A_IBUF[4]), - .I2(A_IBUF[2]), - .I3(A_IBUF[1]), - .I4(A_IBUF[3]), - .I5(A_IBUF[5]), - .O(\RD_OBUF[23]_inst_i_5_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_6 - (.I0(g6_b7__0_n_0), - .I1(g7_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_6_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_7 - (.I0(g4_b7__0_n_0), - .I1(g5_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_7_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_8 - (.I0(g2_b7__0_n_0), - .I1(g3_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_8_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - MUXF7 \RD_OBUF[23]_inst_i_9 - (.I0(g0_b7__0_n_0), - .I1(g1_b7__0_n_0), - .O(\RD_OBUF[23]_inst_i_9_n_0 ), - .S(\RD_OBUF[21]_inst_i_8_n_0 )); - OBUF \RD_OBUF[24]_inst - (.I(RD_OBUF[24]), - .O(RD[24])); - MUXF7 \RD_OBUF[24]_inst_i_1 - (.I0(\RD_OBUF[24]_inst_i_2_n_0 ), - .I1(\RD_OBUF[24]_inst_i_3_n_0 ), - .O(RD_OBUF[24]), - .S(sel[9])); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_10 - (.I0(g11_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_11 - (.I0(g9_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[24]_inst_i_2 - (.I0(\RD_OBUF[24]_inst_i_4_n_0 ), - .I1(\RD_OBUF[24]_inst_i_5_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[24]_inst_i_6_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[24]_inst_i_7_n_0 ), - .O(\RD_OBUF[24]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[24]_inst_i_3 - (.I0(\RD_OBUF[24]_inst_i_8_n_0 ), - .I1(\RD_OBUF[24]_inst_i_9_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[24]_inst_i_10_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[24]_inst_i_11_n_0 ), - .O(\RD_OBUF[24]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_4 - (.I0(g7_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_5 - (.I0(g5_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_6 - (.I0(g3_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_6_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_7 - (.I0(g1_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_8 - (.I0(g15_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[24]_inst_i_9 - (.I0(g13_b0__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b0__1_n_0), - .O(\RD_OBUF[24]_inst_i_9_n_0 )); - OBUF \RD_OBUF[25]_inst - (.I(RD_OBUF[25]), - .O(RD[25])); - MUXF7 \RD_OBUF[25]_inst_i_1 - (.I0(\RD_OBUF[25]_inst_i_2_n_0 ), - .I1(\RD_OBUF[25]_inst_i_3_n_0 ), - .O(RD_OBUF[25]), - .S(sel[9])); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_10 - (.I0(g11_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_11 - (.I0(g9_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[25]_inst_i_2 - (.I0(\RD_OBUF[25]_inst_i_4_n_0 ), - .I1(\RD_OBUF[25]_inst_i_5_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[25]_inst_i_6_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[25]_inst_i_7_n_0 ), - .O(\RD_OBUF[25]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[25]_inst_i_3 - (.I0(\RD_OBUF[25]_inst_i_8_n_0 ), - .I1(\RD_OBUF[25]_inst_i_9_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[25]_inst_i_10_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[25]_inst_i_11_n_0 ), - .O(\RD_OBUF[25]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_4 - (.I0(g7_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_5 - (.I0(g5_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_6 - (.I0(g3_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_7 - (.I0(g1_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_8 - (.I0(g15_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[25]_inst_i_9 - (.I0(g13_b1__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b1__1_n_0), - .O(\RD_OBUF[25]_inst_i_9_n_0 )); - OBUF \RD_OBUF[26]_inst - (.I(RD_OBUF[26]), - .O(RD[26])); - MUXF7 \RD_OBUF[26]_inst_i_1 - (.I0(\RD_OBUF[26]_inst_i_2_n_0 ), - .I1(\RD_OBUF[26]_inst_i_3_n_0 ), - .O(RD_OBUF[26]), - .S(sel[9])); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_10 - (.I0(g11_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_11 - (.I0(g9_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[26]_inst_i_2 - (.I0(\RD_OBUF[26]_inst_i_4_n_0 ), - .I1(\RD_OBUF[26]_inst_i_5_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[26]_inst_i_6_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[26]_inst_i_7_n_0 ), - .O(\RD_OBUF[26]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[26]_inst_i_3 - (.I0(\RD_OBUF[26]_inst_i_8_n_0 ), - .I1(\RD_OBUF[26]_inst_i_9_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[26]_inst_i_10_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[26]_inst_i_11_n_0 ), - .O(\RD_OBUF[26]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_4 - (.I0(g7_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_5 - (.I0(g5_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_6 - (.I0(g3_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_7 - (.I0(g1_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_8 - (.I0(g15_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[26]_inst_i_9 - (.I0(g13_b2__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b2__1_n_0), - .O(\RD_OBUF[26]_inst_i_9_n_0 )); - OBUF \RD_OBUF[27]_inst - (.I(RD_OBUF[27]), - .O(RD[27])); - MUXF7 \RD_OBUF[27]_inst_i_1 - (.I0(\RD_OBUF[27]_inst_i_2_n_0 ), - .I1(\RD_OBUF[27]_inst_i_3_n_0 ), - .O(RD_OBUF[27]), - .S(sel[9])); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_10 - (.I0(g11_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_11 - (.I0(g9_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[27]_inst_i_2 - (.I0(\RD_OBUF[27]_inst_i_4_n_0 ), - .I1(\RD_OBUF[27]_inst_i_5_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[27]_inst_i_6_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[27]_inst_i_7_n_0 ), - .O(\RD_OBUF[27]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[27]_inst_i_3 - (.I0(\RD_OBUF[27]_inst_i_8_n_0 ), - .I1(\RD_OBUF[27]_inst_i_9_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[27]_inst_i_10_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[27]_inst_i_11_n_0 ), - .O(\RD_OBUF[27]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_4 - (.I0(g7_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_5 - (.I0(g5_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_6 - (.I0(g3_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_7 - (.I0(g1_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_8 - (.I0(g15_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[27]_inst_i_9 - (.I0(g13_b3__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b3__1_n_0), - .O(\RD_OBUF[27]_inst_i_9_n_0 )); - OBUF \RD_OBUF[28]_inst - (.I(RD_OBUF[28]), - .O(RD[28])); - MUXF7 \RD_OBUF[28]_inst_i_1 - (.I0(\RD_OBUF[28]_inst_i_2_n_0 ), - .I1(\RD_OBUF[28]_inst_i_3_n_0 ), - .O(RD_OBUF[28]), - .S(sel[9])); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_10 - (.I0(g11_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_11 - (.I0(g9_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[28]_inst_i_2 - (.I0(\RD_OBUF[28]_inst_i_4_n_0 ), - .I1(\RD_OBUF[28]_inst_i_5_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[28]_inst_i_6_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[28]_inst_i_7_n_0 ), - .O(\RD_OBUF[28]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[28]_inst_i_3 - (.I0(\RD_OBUF[28]_inst_i_8_n_0 ), - .I1(\RD_OBUF[28]_inst_i_9_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[28]_inst_i_10_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[28]_inst_i_11_n_0 ), - .O(\RD_OBUF[28]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_4 - (.I0(g7_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_5 - (.I0(g5_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_6 - (.I0(g3_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_7 - (.I0(g1_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_8 - (.I0(g15_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[28]_inst_i_9 - (.I0(g13_b4__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b4__1_n_0), - .O(\RD_OBUF[28]_inst_i_9_n_0 )); - OBUF \RD_OBUF[29]_inst - (.I(RD_OBUF[29]), - .O(RD[29])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[29]_inst_i_1 - (.I0(\RD_OBUF[29]_inst_i_2_n_0 ), - .I1(\RD_OBUF[29]_inst_i_3_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[29]_inst_i_5_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[29]_inst_i_7_n_0 ), - .O(RD_OBUF[29])); - MUXF7 \RD_OBUF[29]_inst_i_2 - (.I0(g6_b5__1_n_0), - .I1(g7_b5__1_n_0), - .O(\RD_OBUF[29]_inst_i_2_n_0 ), - .S(sel[6])); - MUXF7 \RD_OBUF[29]_inst_i_3 - (.I0(g4_b5__1_n_0), - .I1(g5_b5__1_n_0), - .O(\RD_OBUF[29]_inst_i_3_n_0 ), - .S(sel[6])); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT4 #( - .INIT(16'h7F80)) - \RD_OBUF[29]_inst_i_4 - (.I0(A_IBUF[6]), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[7]), - .I3(A_IBUF[8]), - .O(sel[8])); - MUXF7 \RD_OBUF[29]_inst_i_5 - (.I0(g2_b5__1_n_0), - .I1(g3_b5__1_n_0), - .O(\RD_OBUF[29]_inst_i_5_n_0 ), - .S(sel[6])); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT3 #( - .INIT(8'h78)) - \RD_OBUF[29]_inst_i_6 - (.I0(\RD_OBUF[31]_inst_i_5_n_0 ), - .I1(A_IBUF[6]), - .I2(A_IBUF[7]), - .O(sel[7])); - MUXF7 \RD_OBUF[29]_inst_i_7 - (.I0(g0_b5__1_n_0), - .I1(g1_b5__1_n_0), - .O(\RD_OBUF[29]_inst_i_7_n_0 ), - .S(sel[6])); - LUT2 #( - .INIT(4'h6)) - \RD_OBUF[29]_inst_i_8 - (.I0(\RD_OBUF[31]_inst_i_5_n_0 ), - .I1(A_IBUF[6]), - .O(sel[6])); - OBUF \RD_OBUF[2]_inst - (.I(RD_OBUF[2]), - .O(RD[2])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[2]_inst_i_1 - (.I0(\RD_OBUF[2]_inst_i_2_n_0 ), - .I1(\RD_OBUF[2]_inst_i_3_n_0 ), - .I2(A_IBUF[9]), - .I3(\RD_OBUF[2]_inst_i_4_n_0 ), - .I4(A_IBUF[8]), - .I5(\RD_OBUF[2]_inst_i_5_n_0 ), - .O(RD_OBUF[2])); - MUXF7 \RD_OBUF[2]_inst_i_10 - (.I0(g4_b2_n_0), - .I1(g5_b2_n_0), - .O(\RD_OBUF[2]_inst_i_10_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[2]_inst_i_11 - (.I0(g6_b2_n_0), - .I1(g7_b2_n_0), - .O(\RD_OBUF[2]_inst_i_11_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[2]_inst_i_12 - (.I0(g0_b2_n_0), - .I1(g1_b2_n_0), - .O(\RD_OBUF[2]_inst_i_12_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[2]_inst_i_13 - (.I0(g2_b2_n_0), - .I1(g3_b2_n_0), - .O(\RD_OBUF[2]_inst_i_13_n_0 ), - .S(A_IBUF[6])); - MUXF8 \RD_OBUF[2]_inst_i_2 - (.I0(\RD_OBUF[2]_inst_i_6_n_0 ), - .I1(\RD_OBUF[2]_inst_i_7_n_0 ), - .O(\RD_OBUF[2]_inst_i_2_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[2]_inst_i_3 - (.I0(\RD_OBUF[2]_inst_i_8_n_0 ), - .I1(\RD_OBUF[2]_inst_i_9_n_0 ), - .O(\RD_OBUF[2]_inst_i_3_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[2]_inst_i_4 - (.I0(\RD_OBUF[2]_inst_i_10_n_0 ), - .I1(\RD_OBUF[2]_inst_i_11_n_0 ), - .O(\RD_OBUF[2]_inst_i_4_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[2]_inst_i_5 - (.I0(\RD_OBUF[2]_inst_i_12_n_0 ), - .I1(\RD_OBUF[2]_inst_i_13_n_0 ), - .O(\RD_OBUF[2]_inst_i_5_n_0 ), - .S(A_IBUF[7])); - MUXF7 \RD_OBUF[2]_inst_i_6 - (.I0(g12_b2_n_0), - .I1(g13_b2_n_0), - .O(\RD_OBUF[2]_inst_i_6_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[2]_inst_i_7 - (.I0(g14_b2_n_0), - .I1(g15_b2_n_0), - .O(\RD_OBUF[2]_inst_i_7_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[2]_inst_i_8 - (.I0(g8_b2_n_0), - .I1(g9_b2_n_0), - .O(\RD_OBUF[2]_inst_i_8_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[2]_inst_i_9 - (.I0(g10_b2_n_0), - .I1(g11_b2_n_0), - .O(\RD_OBUF[2]_inst_i_9_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[30]_inst - (.I(RD_OBUF[30]), - .O(RD[30])); - MUXF7 \RD_OBUF[30]_inst_i_1 - (.I0(\RD_OBUF[30]_inst_i_2_n_0 ), - .I1(\RD_OBUF[30]_inst_i_3_n_0 ), - .O(RD_OBUF[30]), - .S(sel[9])); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_10 - (.I0(g11_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_11 - (.I0(g9_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[30]_inst_i_2 - (.I0(\RD_OBUF[30]_inst_i_4_n_0 ), - .I1(\RD_OBUF[30]_inst_i_5_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[30]_inst_i_6_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[30]_inst_i_7_n_0 ), - .O(\RD_OBUF[30]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[30]_inst_i_3 - (.I0(\RD_OBUF[30]_inst_i_8_n_0 ), - .I1(\RD_OBUF[30]_inst_i_9_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[30]_inst_i_10_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[30]_inst_i_11_n_0 ), - .O(\RD_OBUF[30]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_4 - (.I0(g7_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_5 - (.I0(g5_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_6 - (.I0(g3_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_7 - (.I0(g1_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_8 - (.I0(g15_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[30]_inst_i_9 - (.I0(g13_b6__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b6__1_n_0), - .O(\RD_OBUF[30]_inst_i_9_n_0 )); - OBUF \RD_OBUF[31]_inst - (.I(RD_OBUF[31]), - .O(RD[31])); - MUXF7 \RD_OBUF[31]_inst_i_1 - (.I0(\RD_OBUF[31]_inst_i_3_n_0 ), - .I1(\RD_OBUF[31]_inst_i_4_n_0 ), - .O(RD_OBUF[31]), - .S(sel[9])); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_10 - (.I0(g15_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_11 - (.I0(g13_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_11_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_12 - (.I0(g11_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_12_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_13 - (.I0(g9_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_13_n_0 )); - LUT5 #( - .INIT(32'h7FFF8000)) - \RD_OBUF[31]_inst_i_2 - (.I0(A_IBUF[7]), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(A_IBUF[8]), - .I4(A_IBUF[9]), - .O(sel[9])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[31]_inst_i_3 - (.I0(\RD_OBUF[31]_inst_i_6_n_0 ), - .I1(\RD_OBUF[31]_inst_i_7_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[31]_inst_i_8_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[31]_inst_i_9_n_0 ), - .O(\RD_OBUF[31]_inst_i_3_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[31]_inst_i_4 - (.I0(\RD_OBUF[31]_inst_i_10_n_0 ), - .I1(\RD_OBUF[31]_inst_i_11_n_0 ), - .I2(sel[8]), - .I3(\RD_OBUF[31]_inst_i_12_n_0 ), - .I4(sel[7]), - .I5(\RD_OBUF[31]_inst_i_13_n_0 ), - .O(\RD_OBUF[31]_inst_i_4_n_0 )); - LUT6 #( - .INIT(64'h8880000000000000)) - \RD_OBUF[31]_inst_i_5 - (.I0(A_IBUF[5]), - .I1(A_IBUF[3]), - .I2(A_IBUF[0]), - .I3(A_IBUF[1]), - .I4(A_IBUF[2]), - .I5(A_IBUF[4]), - .O(\RD_OBUF[31]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_6 - (.I0(g7_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_7 - (.I0(g5_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_8 - (.I0(g3_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[31]_inst_i_9 - (.I0(g1_b7__1_n_0), - .I1(\RD_OBUF[31]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b7__1_n_0), - .O(\RD_OBUF[31]_inst_i_9_n_0 )); - OBUF \RD_OBUF[3]_inst - (.I(RD_OBUF[3]), - .O(RD[3])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[3]_inst_i_1 - (.I0(\RD_OBUF[3]_inst_i_2_n_0 ), - .I1(\RD_OBUF[3]_inst_i_3_n_0 ), - .I2(A_IBUF[9]), - .I3(\RD_OBUF[3]_inst_i_4_n_0 ), - .I4(A_IBUF[8]), - .I5(\RD_OBUF[3]_inst_i_5_n_0 ), - .O(RD_OBUF[3])); - MUXF7 \RD_OBUF[3]_inst_i_10 - (.I0(g4_b3_n_0), - .I1(g5_b3_n_0), - .O(\RD_OBUF[3]_inst_i_10_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[3]_inst_i_11 - (.I0(g6_b3_n_0), - .I1(g7_b3_n_0), - .O(\RD_OBUF[3]_inst_i_11_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[3]_inst_i_12 - (.I0(g0_b3_n_0), - .I1(g1_b3_n_0), - .O(\RD_OBUF[3]_inst_i_12_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[3]_inst_i_13 - (.I0(g2_b3_n_0), - .I1(g3_b3_n_0), - .O(\RD_OBUF[3]_inst_i_13_n_0 ), - .S(A_IBUF[6])); - MUXF8 \RD_OBUF[3]_inst_i_2 - (.I0(\RD_OBUF[3]_inst_i_6_n_0 ), - .I1(\RD_OBUF[3]_inst_i_7_n_0 ), - .O(\RD_OBUF[3]_inst_i_2_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[3]_inst_i_3 - (.I0(\RD_OBUF[3]_inst_i_8_n_0 ), - .I1(\RD_OBUF[3]_inst_i_9_n_0 ), - .O(\RD_OBUF[3]_inst_i_3_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[3]_inst_i_4 - (.I0(\RD_OBUF[3]_inst_i_10_n_0 ), - .I1(\RD_OBUF[3]_inst_i_11_n_0 ), - .O(\RD_OBUF[3]_inst_i_4_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[3]_inst_i_5 - (.I0(\RD_OBUF[3]_inst_i_12_n_0 ), - .I1(\RD_OBUF[3]_inst_i_13_n_0 ), - .O(\RD_OBUF[3]_inst_i_5_n_0 ), - .S(A_IBUF[7])); - MUXF7 \RD_OBUF[3]_inst_i_6 - (.I0(g12_b3_n_0), - .I1(g13_b3_n_0), - .O(\RD_OBUF[3]_inst_i_6_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[3]_inst_i_7 - (.I0(g14_b3_n_0), - .I1(g15_b3_n_0), - .O(\RD_OBUF[3]_inst_i_7_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[3]_inst_i_8 - (.I0(g8_b3_n_0), - .I1(g9_b3_n_0), - .O(\RD_OBUF[3]_inst_i_8_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[3]_inst_i_9 - (.I0(g10_b3_n_0), - .I1(g11_b3_n_0), - .O(\RD_OBUF[3]_inst_i_9_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[4]_inst - (.I(RD_OBUF[4]), - .O(RD[4])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[4]_inst_i_1 - (.I0(\RD_OBUF[4]_inst_i_2_n_0 ), - .I1(\RD_OBUF[4]_inst_i_3_n_0 ), - .I2(A_IBUF[9]), - .I3(\RD_OBUF[4]_inst_i_4_n_0 ), - .I4(A_IBUF[8]), - .I5(\RD_OBUF[4]_inst_i_5_n_0 ), - .O(RD_OBUF[4])); - MUXF7 \RD_OBUF[4]_inst_i_10 - (.I0(g4_b4_n_0), - .I1(g5_b4_n_0), - .O(\RD_OBUF[4]_inst_i_10_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[4]_inst_i_11 - (.I0(g6_b4_n_0), - .I1(g7_b4_n_0), - .O(\RD_OBUF[4]_inst_i_11_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[4]_inst_i_12 - (.I0(g0_b4_n_0), - .I1(g1_b4_n_0), - .O(\RD_OBUF[4]_inst_i_12_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[4]_inst_i_13 - (.I0(g2_b4_n_0), - .I1(g3_b4_n_0), - .O(\RD_OBUF[4]_inst_i_13_n_0 ), - .S(A_IBUF[6])); - MUXF8 \RD_OBUF[4]_inst_i_2 - (.I0(\RD_OBUF[4]_inst_i_6_n_0 ), - .I1(\RD_OBUF[4]_inst_i_7_n_0 ), - .O(\RD_OBUF[4]_inst_i_2_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[4]_inst_i_3 - (.I0(\RD_OBUF[4]_inst_i_8_n_0 ), - .I1(\RD_OBUF[4]_inst_i_9_n_0 ), - .O(\RD_OBUF[4]_inst_i_3_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[4]_inst_i_4 - (.I0(\RD_OBUF[4]_inst_i_10_n_0 ), - .I1(\RD_OBUF[4]_inst_i_11_n_0 ), - .O(\RD_OBUF[4]_inst_i_4_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[4]_inst_i_5 - (.I0(\RD_OBUF[4]_inst_i_12_n_0 ), - .I1(\RD_OBUF[4]_inst_i_13_n_0 ), - .O(\RD_OBUF[4]_inst_i_5_n_0 ), - .S(A_IBUF[7])); - MUXF7 \RD_OBUF[4]_inst_i_6 - (.I0(g12_b4_n_0), - .I1(g13_b4_n_0), - .O(\RD_OBUF[4]_inst_i_6_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[4]_inst_i_7 - (.I0(g14_b4_n_0), - .I1(g15_b4_n_0), - .O(\RD_OBUF[4]_inst_i_7_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[4]_inst_i_8 - (.I0(g8_b4_n_0), - .I1(g9_b4_n_0), - .O(\RD_OBUF[4]_inst_i_8_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[4]_inst_i_9 - (.I0(g10_b4_n_0), - .I1(g11_b4_n_0), - .O(\RD_OBUF[4]_inst_i_9_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[5]_inst - (.I(RD_OBUF[5]), - .O(RD[5])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[5]_inst_i_1 - (.I0(\RD_OBUF[5]_inst_i_2_n_0 ), - .I1(\RD_OBUF[5]_inst_i_3_n_0 ), - .I2(A_IBUF[8]), - .I3(\RD_OBUF[5]_inst_i_4_n_0 ), - .I4(A_IBUF[7]), - .I5(\RD_OBUF[5]_inst_i_5_n_0 ), - .O(RD_OBUF[5])); - MUXF7 \RD_OBUF[5]_inst_i_2 - (.I0(g6_b5_n_0), - .I1(g7_b5_n_0), - .O(\RD_OBUF[5]_inst_i_2_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[5]_inst_i_3 - (.I0(g4_b5_n_0), - .I1(g5_b5_n_0), - .O(\RD_OBUF[5]_inst_i_3_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[5]_inst_i_4 - (.I0(g2_b5_n_0), - .I1(g3_b5_n_0), - .O(\RD_OBUF[5]_inst_i_4_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[5]_inst_i_5 - (.I0(g0_b5_n_0), - .I1(g1_b5_n_0), - .O(\RD_OBUF[5]_inst_i_5_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[6]_inst - (.I(RD_OBUF[6]), - .O(RD[6])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[6]_inst_i_1 - (.I0(\RD_OBUF[6]_inst_i_2_n_0 ), - .I1(\RD_OBUF[6]_inst_i_3_n_0 ), - .I2(A_IBUF[9]), - .I3(\RD_OBUF[6]_inst_i_4_n_0 ), - .I4(A_IBUF[8]), - .I5(\RD_OBUF[6]_inst_i_5_n_0 ), - .O(RD_OBUF[6])); - MUXF7 \RD_OBUF[6]_inst_i_10 - (.I0(g4_b6_n_0), - .I1(g5_b6_n_0), - .O(\RD_OBUF[6]_inst_i_10_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[6]_inst_i_11 - (.I0(g6_b6_n_0), - .I1(g7_b6_n_0), - .O(\RD_OBUF[6]_inst_i_11_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[6]_inst_i_12 - (.I0(g0_b6_n_0), - .I1(g1_b6_n_0), - .O(\RD_OBUF[6]_inst_i_12_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[6]_inst_i_13 - (.I0(g2_b6_n_0), - .I1(g3_b6_n_0), - .O(\RD_OBUF[6]_inst_i_13_n_0 ), - .S(A_IBUF[6])); - MUXF8 \RD_OBUF[6]_inst_i_2 - (.I0(\RD_OBUF[6]_inst_i_6_n_0 ), - .I1(\RD_OBUF[6]_inst_i_7_n_0 ), - .O(\RD_OBUF[6]_inst_i_2_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[6]_inst_i_3 - (.I0(\RD_OBUF[6]_inst_i_8_n_0 ), - .I1(\RD_OBUF[6]_inst_i_9_n_0 ), - .O(\RD_OBUF[6]_inst_i_3_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[6]_inst_i_4 - (.I0(\RD_OBUF[6]_inst_i_10_n_0 ), - .I1(\RD_OBUF[6]_inst_i_11_n_0 ), - .O(\RD_OBUF[6]_inst_i_4_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[6]_inst_i_5 - (.I0(\RD_OBUF[6]_inst_i_12_n_0 ), - .I1(\RD_OBUF[6]_inst_i_13_n_0 ), - .O(\RD_OBUF[6]_inst_i_5_n_0 ), - .S(A_IBUF[7])); - MUXF7 \RD_OBUF[6]_inst_i_6 - (.I0(g12_b6_n_0), - .I1(g13_b6_n_0), - .O(\RD_OBUF[6]_inst_i_6_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[6]_inst_i_7 - (.I0(g14_b6_n_0), - .I1(g15_b6_n_0), - .O(\RD_OBUF[6]_inst_i_7_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[6]_inst_i_8 - (.I0(g8_b6_n_0), - .I1(g9_b6_n_0), - .O(\RD_OBUF[6]_inst_i_8_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[6]_inst_i_9 - (.I0(g10_b6_n_0), - .I1(g11_b6_n_0), - .O(\RD_OBUF[6]_inst_i_9_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[7]_inst - (.I(RD_OBUF[7]), - .O(RD[7])); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[7]_inst_i_1 - (.I0(\RD_OBUF[7]_inst_i_2_n_0 ), - .I1(\RD_OBUF[7]_inst_i_3_n_0 ), - .I2(A_IBUF[9]), - .I3(\RD_OBUF[7]_inst_i_4_n_0 ), - .I4(A_IBUF[8]), - .I5(\RD_OBUF[7]_inst_i_5_n_0 ), - .O(RD_OBUF[7])); - MUXF7 \RD_OBUF[7]_inst_i_10 - (.I0(g4_b7_n_0), - .I1(g5_b7_n_0), - .O(\RD_OBUF[7]_inst_i_10_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[7]_inst_i_11 - (.I0(g6_b7_n_0), - .I1(g7_b7_n_0), - .O(\RD_OBUF[7]_inst_i_11_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[7]_inst_i_12 - (.I0(g0_b7_n_0), - .I1(g1_b7_n_0), - .O(\RD_OBUF[7]_inst_i_12_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[7]_inst_i_13 - (.I0(g2_b7_n_0), - .I1(g3_b7_n_0), - .O(\RD_OBUF[7]_inst_i_13_n_0 ), - .S(A_IBUF[6])); - MUXF8 \RD_OBUF[7]_inst_i_2 - (.I0(\RD_OBUF[7]_inst_i_6_n_0 ), - .I1(\RD_OBUF[7]_inst_i_7_n_0 ), - .O(\RD_OBUF[7]_inst_i_2_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[7]_inst_i_3 - (.I0(\RD_OBUF[7]_inst_i_8_n_0 ), - .I1(\RD_OBUF[7]_inst_i_9_n_0 ), - .O(\RD_OBUF[7]_inst_i_3_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[7]_inst_i_4 - (.I0(\RD_OBUF[7]_inst_i_10_n_0 ), - .I1(\RD_OBUF[7]_inst_i_11_n_0 ), - .O(\RD_OBUF[7]_inst_i_4_n_0 ), - .S(A_IBUF[7])); - MUXF8 \RD_OBUF[7]_inst_i_5 - (.I0(\RD_OBUF[7]_inst_i_12_n_0 ), - .I1(\RD_OBUF[7]_inst_i_13_n_0 ), - .O(\RD_OBUF[7]_inst_i_5_n_0 ), - .S(A_IBUF[7])); - MUXF7 \RD_OBUF[7]_inst_i_6 - (.I0(g12_b7_n_0), - .I1(g13_b7_n_0), - .O(\RD_OBUF[7]_inst_i_6_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[7]_inst_i_7 - (.I0(g14_b7_n_0), - .I1(g15_b7_n_0), - .O(\RD_OBUF[7]_inst_i_7_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[7]_inst_i_8 - (.I0(g8_b7_n_0), - .I1(g9_b7_n_0), - .O(\RD_OBUF[7]_inst_i_8_n_0 ), - .S(A_IBUF[6])); - MUXF7 \RD_OBUF[7]_inst_i_9 - (.I0(g10_b7_n_0), - .I1(g11_b7_n_0), - .O(\RD_OBUF[7]_inst_i_9_n_0 ), - .S(A_IBUF[6])); - OBUF \RD_OBUF[8]_inst - (.I(RD_OBUF[8]), - .O(RD[8])); - MUXF7 \RD_OBUF[8]_inst_i_1 - (.I0(\RD_OBUF[8]_inst_i_2_n_0 ), - .I1(\RD_OBUF[8]_inst_i_3_n_0 ), - .O(RD_OBUF[8]), - .S(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_10 - (.I0(g11_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_11 - (.I0(g9_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[8]_inst_i_2 - (.I0(\RD_OBUF[8]_inst_i_4_n_0 ), - .I1(\RD_OBUF[8]_inst_i_5_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[8]_inst_i_6_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[8]_inst_i_7_n_0 ), - .O(\RD_OBUF[8]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[8]_inst_i_3 - (.I0(\RD_OBUF[8]_inst_i_8_n_0 ), - .I1(\RD_OBUF[8]_inst_i_9_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[8]_inst_i_10_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[8]_inst_i_11_n_0 ), - .O(\RD_OBUF[8]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_4 - (.I0(g7_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_5 - (.I0(g5_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_6 - (.I0(g3_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_6_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_7 - (.I0(g1_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_8 - (.I0(g15_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[8]_inst_i_9 - (.I0(g13_b0__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b0__2_n_0), - .O(\RD_OBUF[8]_inst_i_9_n_0 )); - OBUF \RD_OBUF[9]_inst - (.I(RD_OBUF[9]), - .O(RD[9])); - MUXF7 \RD_OBUF[9]_inst_i_1 - (.I0(\RD_OBUF[9]_inst_i_2_n_0 ), - .I1(\RD_OBUF[9]_inst_i_3_n_0 ), - .O(RD_OBUF[9]), - .S(\RD_OBUF[15]_inst_i_2_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_10 - (.I0(g11_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g10_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_10_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_11 - (.I0(g9_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g8_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_11_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[9]_inst_i_2 - (.I0(\RD_OBUF[9]_inst_i_4_n_0 ), - .I1(\RD_OBUF[9]_inst_i_5_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[9]_inst_i_6_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[9]_inst_i_7_n_0 ), - .O(\RD_OBUF[9]_inst_i_2_n_0 )); - LUT6 #( - .INIT(64'hAFA0CFCFAFA0C0C0)) - \RD_OBUF[9]_inst_i_3 - (.I0(\RD_OBUF[9]_inst_i_8_n_0 ), - .I1(\RD_OBUF[9]_inst_i_9_n_0 ), - .I2(\RD_OBUF[13]_inst_i_4_n_0 ), - .I3(\RD_OBUF[9]_inst_i_10_n_0 ), - .I4(\RD_OBUF[13]_inst_i_6_n_0 ), - .I5(\RD_OBUF[9]_inst_i_11_n_0 ), - .O(\RD_OBUF[9]_inst_i_3_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_4 - (.I0(g7_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g6_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_4_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_5 - (.I0(g5_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g4_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_5_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_6 - (.I0(g3_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g2_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_6_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_7 - (.I0(g1_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g0_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_7_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_8 - (.I0(g15_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g14_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_8_n_0 )); - LUT4 #( - .INIT(16'hEB28)) - \RD_OBUF[9]_inst_i_9 - (.I0(g13_b1__2_n_0), - .I1(\RD_OBUF[15]_inst_i_5_n_0 ), - .I2(A_IBUF[6]), - .I3(g12_b1__2_n_0), - .O(\RD_OBUF[9]_inst_i_9_n_0 )); - LUT6 #( - .INIT(64'hE6DD6DEE4A442CA0)) - g0_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b0_n_0)); - LUT6 #( - .INIT(64'h6DD7B75D18453610)) - g0_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b0__0_n_0)); - LUT6 #( - .INIT(64'hD9EE9EDD85881C50)) - g0_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b0__1_n_0)); - LUT6 #( - .INIT(64'hD9EE9EDD85881C50)) - g0_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b0__2_n_0)); - LUT6 #( - .INIT(64'hDDC8A05E5A92DAE9)) - g0_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b1_n_0)); - LUT6 #( - .INIT(64'h557CE1079C625FE4)) - g0_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b1__0_n_0)); - LUT6 #( - .INIT(64'hEEC450ADA561E5D6)) - g0_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b1__1_n_0)); - LUT6 #( - .INIT(64'hEEC450ADA561E5D6)) - g0_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b1__2_n_0)); - LUT6 #( - .INIT(64'hAED4B75C3F10BBE8)) - g0_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b2_n_0)); - LUT6 #( - .INIT(64'h7C17693F383A7F2C)) - g0_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b2__0_n_0)); - LUT6 #( - .INIT(64'h5DE87BAC3F2077D4)) - g0_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b2__1_n_0)); - LUT6 #( - .INIT(64'h5DE87BAC3F2077D4)) - g0_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b2__2_n_0)); - LUT6 #( - .INIT(64'h6C621CE973071BC0)) - g0_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b3_n_0)); - LUT6 #( - .INIT(64'hB25417B4A8E91C2C)) - g0_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b3__0_n_0)); - LUT6 #( - .INIT(64'h9C912CD6B30B27C0)) - g0_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b3__1_n_0)); - LUT6 #( - .INIT(64'h9C912CD6B30B27C0)) - g0_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b3__2_n_0)); - LUT6 #( - .INIT(64'h94D7C8793ED22AF5)) - g0_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b4_n_0)); - LUT6 #( - .INIT(64'hC4B753C6BC363E87)) - g0_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b4__0_n_0)); - LUT6 #( - .INIT(64'h68EBC4B63DE115FA)) - g0_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b4__1_n_0)); - LUT6 #( - .INIT(64'h68EBC4B63DE115FA)) - g0_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b4__2_n_0)); - LUT6 #( - .INIT(64'h4A9B7A9CCD259656)) - g0_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b5_n_0)); - LUT6 #( - .INIT(64'h9DC23D6352D9C837)) - g0_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b5__0_n_0)); - LUT6 #( - .INIT(64'h8567B56CCE1A69A9)) - g0_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b5__1_n_0)); - LUT6 #( - .INIT(64'h8567B56CCE1A69A9)) - g0_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b5__2_n_0)); - LUT6 #( - .INIT(64'h26AB18B01216074B)) - g0_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b6_n_0)); - LUT6 #( - .INIT(64'hAF9016228823899C)) - g0_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b6__0_n_0)); - LUT6 #( - .INIT(64'h1957247021290B87)) - g0_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b6__1_n_0)); - LUT6 #( - .INIT(64'h1957247021290B87)) - g0_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b6__2_n_0)); - LUT6 #( - .INIT(64'hAD6F7848B3FC7884)) - g0_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g0_b7_n_0)); - LUT6 #( - .INIT(64'hF39D31646F2F3461)) - g0_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g0_b7__0_n_0)); - LUT6 #( - .INIT(64'h5E9FB48473FCB448)) - g0_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g0_b7__1_n_0)); - LUT6 #( - .INIT(64'h5E9FB48473FCB448)) - g0_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g0_b7__2_n_0)); - LUT6 #( - .INIT(64'h711144702FB3B54B)) - g10_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g10_b0_n_0)); - LUT6 #( - .INIT(64'h20EA0256BE9AE1BC)) - g10_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g10_b0__0_n_0)); - LUT6 #( - .INIT(64'hB22288B01F737A87)) - g10_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g10_b0__1_n_0)); - LUT6 #( - .INIT(64'hB22288B01F737A87)) - g10_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g10_b0__2_n_0)); - LUT6 #( - .INIT(64'h44ECD8BC57DCB745)) - g10_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g10_b1_n_0)); - LUT6 #( - .INIT(64'h075557630D7F68BD)) - g10_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g10_b1__0_n_0)); - LUT6 #( - .INIT(64'h88DCE47CABEC7B8A)) - g10_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g10_b1__1_n_0)); - LUT6 #( - .INIT(64'h88DCE47CABEC7B8A)) - g10_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g10_b1__2_n_0)); - LUT6 #( - .INIT(64'h0248FF7B8A9E9599)) - g10_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g10_b2_n_0)); - LUT6 #( - .INIT(64'h0904FBFEDD0345BA)) - g10_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g10_b2__0_n_0)); - LUT6 #( - .INIT(64'h0184FFB7456D6A66)) - g10_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g10_b2__1_n_0)); - LUT6 #( - .INIT(64'h0184FFB7456D6A66)) - g10_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g10_b2__2_n_0)); - LUT6 #( - .INIT(64'hB25FE5F22A94E36E)) - g10_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g10_b3_n_0)); - LUT6 #( - .INIT(64'hE9A7E65E3C03EB4D)) - g10_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g10_b3__0_n_0)); - LUT6 #( - .INIT(64'h71AFDAF11568D39D)) - g10_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g10_b3__1_n_0)); - LUT6 #( - .INIT(64'h71AFDAF11568D39D)) - g10_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g10_b3__2_n_0)); - LUT6 #( - .INIT(64'h812975B16E1315E8)) - g10_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g10_b4_n_0)); - LUT6 #( - .INIT(64'h438826FAB8D2073C)) - g10_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g10_b4__0_n_0)); - LUT6 #( - .INIT(64'h4216BA729D232AD4)) - g10_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g10_b4__1_n_0)); - LUT6 #( - .INIT(64'h4216BA729D232AD4)) - g10_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g10_b4__2_n_0)); - LUT6 #( - .INIT(64'h83EF1CD100DC2F42)) - g10_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g10_b6_n_0)); - LUT6 #( - .INIT(64'hCF8D14B60507B81C)) - g10_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g10_b6__0_n_0)); - LUT6 #( - .INIT(64'h43DF2CE200EC1F81)) - g10_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g10_b6__1_n_0)); - LUT6 #( - .INIT(64'h43DF2CE200EC1F81)) - g10_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g10_b6__2_n_0)); - LUT6 #( - .INIT(64'h8681D6A3199EFA2E)) - g10_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g10_b7_n_0)); - LUT6 #( - .INIT(64'h4C90CEF0952BFB61)) - g10_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g10_b7__0_n_0)); - LUT6 #( - .INIT(64'h4942E953266DF51D)) - g10_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g10_b7__1_n_0)); - LUT6 #( - .INIT(64'h4942E953266DF51D)) - g10_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g10_b7__2_n_0)); - LUT6 #( - .INIT(64'h817F438670A763A7)) - g11_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g11_b0_n_0)); - LUT6 #( - .INIT(64'hC38F8C49A6E1AEC9)) - g11_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g11_b0__0_n_0)); - LUT6 #( - .INIT(64'h42BF8349B05B935B)) - g11_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g11_b0__1_n_0)); - LUT6 #( - .INIT(64'h42BF8349B05B935B)) - g11_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g11_b0__2_n_0)); - LUT6 #( - .INIT(64'h0FA162A2FC16BB27)) - g11_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g11_b1_n_0)); - LUT6 #( - .INIT(64'h1E98AE40F073FAA9)) - g11_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g11_b1__0_n_0)); - LUT6 #( - .INIT(64'h0F529151FC29771B)) - g11_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g11_b1__1_n_0)); - LUT6 #( - .INIT(64'h0F529151FC29771B)) - g11_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g11_b1__2_n_0)); - LUT6 #( - .INIT(64'h69A4C20E13C47E67)) - g11_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g11_b2_n_0)); - LUT6 #( - .INIT(64'h3649C9410C2DBAF5)) - g11_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g11_b2__0_n_0)); - LUT6 #( - .INIT(64'h9658C10D23C8BD9B)) - g11_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g11_b2__1_n_0)); - LUT6 #( - .INIT(64'h9658C10D23C8BD9B)) - g11_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g11_b2__2_n_0)); - LUT6 #( - .INIT(64'h6BE4C8FFD3C39A7C)) - g11_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g11_b3_n_0)); - LUT6 #( - .INIT(64'h3E4DD7C7CCEC5B27)) - g11_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g11_b3__0_n_0)); - LUT6 #( - .INIT(64'h97D8C4FFE3C365BC)) - g11_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g11_b3__1_n_0)); - LUT6 #( - .INIT(64'h97D8C4FFE3C365BC)) - g11_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g11_b3__2_n_0)); - LUT6 #( - .INIT(64'hF12F111BE9C48511)) - g11_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g11_b4_n_0)); - LUT6 #( - .INIT(64'hE3E981AA744D409A)) - g11_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g11_b4__0_n_0)); - LUT6 #( - .INIT(64'hF21F2227D6C84A22)) - g11_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g11_b4__1_n_0)); - LUT6 #( - .INIT(64'hF21F2227D6C84A22)) - g11_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g11_b4__2_n_0)); - LUT6 #( - .INIT(64'h8E01B286B5B8ADA1)) - g11_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g11_b6_n_0)); - LUT6 #( - .INIT(64'h5890EC21673A7698)) - g11_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g11_b6__0_n_0)); - LUT6 #( - .INIT(64'h4D0271497A745E52)) - g11_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g11_b6__1_n_0)); - LUT6 #( - .INIT(64'h4D0271497A745E52)) - g11_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g11_b6__2_n_0)); - LUT6 #( - .INIT(64'hBCF643C38AC949FD)) - g11_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g11_b7_n_0)); - LUT6 #( - .INIT(64'hF6378CCC5D8417CF)) - g11_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g11_b7__0_n_0)); - LUT6 #( - .INIT(64'h7CF983C345C686FE)) - g11_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g11_b7__1_n_0)); - LUT6 #( - .INIT(64'h7CF983C345C686FE)) - g11_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g11_b7__2_n_0)); - LUT6 #( - .INIT(64'h16859A383DD6DB22)) - g12_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g12_b0_n_0)); - LUT6 #( - .INIT(64'h0CB15B22B43FDA68)) - g12_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g12_b0__0_n_0)); - LUT6 #( - .INIT(64'h294A65343EE9E711)) - g12_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g12_b0__1_n_0)); - LUT6 #( - .INIT(64'h294A65343EE9E711)) - g12_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g12_b0__2_n_0)); - LUT6 #( - .INIT(64'h572302298FC88AFD)) - g12_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g12_b1_n_0)); - LUT6 #( - .INIT(64'h8AF80B805D1C5F87)) - g12_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g12_b1__0_n_0)); - LUT6 #( - .INIT(64'hAB1301164FC445FE)) - g12_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g12_b1__1_n_0)); - LUT6 #( - .INIT(64'hAB1301164FC445FE)) - g12_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g12_b1__2_n_0)); - LUT6 #( - .INIT(64'hB0D9DF840EDFD583)) - g12_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g12_b2_n_0)); - LUT6 #( - .INIT(64'h65A65C799D97C4F8)) - g12_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g12_b2__0_n_0)); - LUT6 #( - .INIT(64'h70E6EF480DEFEA43)) - g12_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g12_b2__1_n_0)); - LUT6 #( - .INIT(64'h70E6EF480DEFEA43)) - g12_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g12_b2__2_n_0)); - LUT6 #( - .INIT(64'hDB8B3605818C4D60)) - g12_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g12_b3_n_0)); - LUT6 #( - .INIT(64'hDDE828B14509125C)) - g12_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g12_b3__0_n_0)); - LUT6 #( - .INIT(64'hE747390A424C8E90)) - g12_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g12_b3__1_n_0)); - LUT6 #( - .INIT(64'hE747390A424C8E90)) - g12_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g12_b3__2_n_0)); - LUT6 #( - .INIT(64'h662505D880B48D8F)) - g12_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g12_b4_n_0)); - LUT6 #( - .INIT(64'h2AD1051E4603D599)) - g12_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g12_b4__0_n_0)); - LUT6 #( - .INIT(64'h991A0AE440784E4F)) - g12_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g12_b4__1_n_0)); - LUT6 #( - .INIT(64'h991A0AE440784E4F)) - g12_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g12_b4__2_n_0)); - LUT6 #( - .INIT(64'h6C30622CDF33911D)) - g12_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g12_b6_n_0)); - LUT6 #( - .INIT(64'h32522B41DAFA41AB)) - g12_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g12_b6__0_n_0)); - LUT6 #( - .INIT(64'h9C30911CEF33622E)) - g12_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g12_b6__1_n_0)); - LUT6 #( - .INIT(64'h9C30911CEF33622E)) - g12_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g12_b6__2_n_0)); - LUT6 #( - .INIT(64'hAFE460D8B3F87EC6)) - g12_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g12_b7_n_0)); - LUT6 #( - .INIT(64'h7E1D25466F2EBC75)) - g12_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g12_b7__0_n_0)); - LUT6 #( - .INIT(64'h5FD890E473F4BDC9)) - g12_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g12_b7__1_n_0)); - LUT6 #( - .INIT(64'h5FD890E473F4BDC9)) - g12_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g12_b7__2_n_0)); - LUT6 #( - .INIT(64'h2607220E3F9E0DCB)) - g13_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g13_b0_n_0)); - LUT6 #( - .INIT(64'hA891A901BD3B959C)) - g13_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g13_b0__0_n_0)); - LUT6 #( - .INIT(64'h190B110D3F6D0EC7)) - g13_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g13_b0__1_n_0)); - LUT6 #( - .INIT(64'h190B110D3F6D0EC7)) - g13_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g13_b0__2_n_0)); - LUT6 #( - .INIT(64'h35DEF8EC6CA8F127)) - g13_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g13_b1_n_0)); - LUT6 #( - .INIT(64'hA53F77653750E2E9)) - g13_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g13_b1__0_n_0)); - LUT6 #( - .INIT(64'h3AEDF4DC9C54F21B)) - g13_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g13_b1__1_n_0)); - LUT6 #( - .INIT(64'h3AEDF4DC9C54F21B)) - g13_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g13_b1__2_n_0)); - LUT6 #( - .INIT(64'h4D9EE264917A8866)) - g13_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g13_b2_n_0)); - LUT6 #( - .INIT(64'h955B6A45C32ED205)) - g13_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g13_b2__0_n_0)); - LUT6 #( - .INIT(64'h8E6DD19862B54499)) - g13_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g13_b2__1_n_0)); - LUT6 #( - .INIT(64'h8E6DD19862B54499)) - g13_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g13_b2__2_n_0)); - LUT6 #( - .INIT(64'hD787642317362D36)) - g13_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g13_b3_n_0)); - LUT6 #( - .INIT(64'hCCF9A2D08A3BB21B)) - g13_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g13_b3__0_n_0)); - LUT6 #( - .INIT(64'hEB4B98132B391E39)) - g13_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g13_b3__1_n_0)); - LUT6 #( - .INIT(64'hEB4B98132B391E39)) - g13_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g13_b3__2_n_0)); - LUT6 #( - .INIT(64'hA18919A8DFAAEAF4)) - g13_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g13_b4_n_0)); - LUT6 #( - .INIT(64'h65881728DF787E47)) - g13_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g13_b4__0_n_0)); - LUT6 #( - .INIT(64'h52462654EF55D5F8)) - g13_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g13_b4__1_n_0)); - LUT6 #( - .INIT(64'h52462654EF55D5F8)) - g13_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g13_b4__2_n_0)); - LUT6 #( - .INIT(64'h52FA49C54709721F)) - g13_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g13_b6_n_0)); - LUT6 #( - .INIT(64'h8F6614CD09D8A9E3)) - g13_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g13_b6__0_n_0)); - LUT6 #( - .INIT(64'hA1F586CA8B06B12F)) - g13_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g13_b6__1_n_0)); - LUT6 #( - .INIT(64'hA1F586CA8B06B12F)) - g13_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g13_b6__2_n_0)); - LUT6 #( - .INIT(64'h9A5D5B6B90D36EF7)) - g13_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g13_b7_n_0)); - LUT6 #( - .INIT(64'h59A79BECC4A6BED7)) - g13_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g13_b7__0_n_0)); - LUT6 #( - .INIT(64'h65AEA79760E39DFB)) - g13_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g13_b7__1_n_0)); - LUT6 #( - .INIT(64'h65AEA79760E39DFB)) - g13_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g13_b7__2_n_0)); - LUT6 #( - .INIT(64'hB80FDC4659684195)) - g14_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g14_b0_n_0)); - LUT6 #( - .INIT(64'hF1A1D075136C04CB)) - g14_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g14_b0__0_n_0)); - LUT6 #( - .INIT(64'h740FEC89A694826A)) - g14_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g14_b0__1_n_0)); - LUT6 #( - .INIT(64'h740FEC89A694826A)) - g14_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g14_b0__2_n_0)); - LUT6 #( - .INIT(64'hB46198D5A77886C6)) - g14_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g14_b1_n_0)); - LUT6 #( - .INIT(64'h62B454A76B1ECC15)) - g14_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g14_b1__0_n_0)); - LUT6 #( - .INIT(64'h789264EA5BB449C9)) - g14_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g14_b1__1_n_0)); - LUT6 #( - .INIT(64'h789264EA5BB449C9)) - g14_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g14_b1__2_n_0)); - LUT6 #( - .INIT(64'h5419EFAFAF36C87A)) - g14_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g14_b2_n_0)); - LUT6 #( - .INIT(64'h01F2FFD9FA1BD346)) - g14_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g14_b2__0_n_0)); - LUT6 #( - .INIT(64'hA826DF5F5F39C4B5)) - g14_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g14_b2__1_n_0)); - LUT6 #( - .INIT(64'hA826DF5F5F39C4B5)) - g14_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g14_b2__2_n_0)); - LUT6 #( - .INIT(64'h2D33E4713BB3397F)) - g14_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g14_b3_n_0)); - LUT6 #( - .INIT(64'hB29A62D6BEAAB3AF)) - g14_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g14_b3__0_n_0)); - LUT6 #( - .INIT(64'h1E33D8B2377336BF)) - g14_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g14_b3__1_n_0)); - LUT6 #( - .INIT(64'h1E33D8B2377336BF)) - g14_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g14_b3__2_n_0)); - LUT6 #( - .INIT(64'h283BE712A5F124AD)) - g14_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g14_b4_n_0)); - LUT6 #( - .INIT(64'hB382E85A669E2791)) - g14_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g14_b4__0_n_0)); - LUT6 #( - .INIT(64'h1437DB215AF2185E)) - g14_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g14_b4__1_n_0)); - LUT6 #( - .INIT(64'h1437DB215AF2185E)) - g14_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g14_b4__2_n_0)); - LUT6 #( - .INIT(64'h9BD5DFD3E0EDFEE0)) - g14_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g14_b6_n_0)); - LUT6 #( - .INIT(64'h5CAFDCFE67C57E74)) - g14_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g14_b6__0_n_0)); - LUT6 #( - .INIT(64'h67EAEFE3D0DEFDD0)) - g14_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g14_b6__1_n_0)); - LUT6 #( - .INIT(64'h67EAEFE3D0DEFDD0)) - g14_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g14_b6__2_n_0)); - LUT6 #( - .INIT(64'h616EEA5E0640D571)) - g14_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g14_b7_n_0)); - LUT6 #( - .INIT(64'hA34DF947081442FE)) - g14_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g14_b7__0_n_0)); - LUT6 #( - .INIT(64'h929DD5AD0980EAB2)) - g14_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g14_b7__1_n_0)); - LUT6 #( - .INIT(64'h929DD5AD0980EAB2)) - g14_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g14_b7__2_n_0)); - LUT6 #( - .INIT(64'hE884D897CA8C592C)) - g15_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b0_n_0)); - LUT6 #( - .INIT(64'h7441D4E35D411369)) - g15_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g15_b0__0_n_0)); - LUT6 #( - .INIT(64'hD448E46BC54CA61C)) - g15_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g15_b0__1_n_0)); - LUT6 #( - .INIT(64'hD448E46BC54CA61C)) - g15_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g15_b0__2_n_0)); - LUT6 #( - .INIT(64'h7E74C95AC83D066B)) - g15_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b1_n_0)); - LUT6 #( - .INIT(64'h3A77D14E53C38B94)) - g15_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g15_b1__0_n_0)); - LUT6 #( - .INIT(64'hBDB8C6A5C43E0997)) - g15_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g15_b1__1_n_0)); - LUT6 #( - .INIT(64'hBDB8C6A5C43E0997)) - g15_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g15_b1__2_n_0)); - LUT6 #( - .INIT(64'hA94794C6E1FCF963)) - g15_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b2_n_0)); - LUT6 #( - .INIT(64'hF08DC435674FF2EC)) - g15_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g15_b2__0_n_0)); - LUT6 #( - .INIT(64'h568B68C9D2FCF693)) - g15_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g15_b2__1_n_0)); - LUT6 #( - .INIT(64'h568B68C9D2FCF693)) - g15_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g15_b2__2_n_0)); - LUT6 #( - .INIT(64'hBE158BCBD991389A)) - g15_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b3_n_0)); - LUT6 #( - .INIT(64'h78B3DD8C54EAB522)) - g15_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g15_b3__0_n_0)); - LUT6 #( - .INIT(64'h7D2A47C7E6623465)) - g15_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g15_b3__1_n_0)); - LUT6 #( - .INIT(64'h7D2A47C7E6623465)) - g15_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g15_b3__2_n_0)); - LUT6 #( - .INIT(64'hC9B8AC57675EFCF5)) - g15_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b4_n_0)); - LUT6 #( - .INIT(64'h574AF097A95F76F7)) - g15_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g15_b4__0_n_0)); - LUT6 #( - .INIT(64'hC6745CAB9BADFCFA)) - g15_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g15_b4__1_n_0)); - LUT6 #( - .INIT(64'hC6745CAB9BADFCFA)) - g15_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g15_b4__2_n_0)); - LUT6 #( - .INIT(64'h3945B67BAA668468)) - g15_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b6_n_0)); - LUT6 #( - .INIT(64'h30ADEBB6FA054314)) - g15_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g15_b6__0_n_0)); - LUT6 #( - .INIT(64'h368A79B755994894)) - g15_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g15_b6__1_n_0)); - LUT6 #( - .INIT(64'h368A79B755994894)) - g15_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g15_b6__2_n_0)); - LUT6 #( - .INIT(64'h724DB8457F70B64A)) - g15_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b7_n_0)); - LUT6 #( - .INIT(64'h29E570A53A7EE934)) - g15_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g15_b7__0_n_0)); - LUT6 #( - .INIT(64'hB18E748ABFB07985)) - g15_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g15_b7__1_n_0)); - LUT6 #( - .INIT(64'hB18E748ABFB07985)) - g15_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g15_b7__2_n_0)); - LUT2 #( - .INIT(4'h9)) - g15_b7_i_1 - (.I0(A_IBUF[1]), - .I1(A_IBUF[0]), - .O(sel[1])); - LUT2 #( - .INIT(4'h6)) - g15_b7_i_1__0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .O(g15_b7_i_1__0_n_0)); - LUT4 #( - .INIT(16'h7F80)) - g15_b7_i_1__1 - (.I0(A_IBUF[2]), - .I1(A_IBUF[1]), - .I2(A_IBUF[3]), - .I3(A_IBUF[4]), - .O(g15_b7_i_1__1_n_0)); - LUT3 #( - .INIT(8'h78)) - g15_b7_i_2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .O(g15_b7_i_2_n_0)); - LUT5 #( - .INIT(32'h7FFF8000)) - g15_b7_i_2__0 - (.I0(A_IBUF[3]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[4]), - .I4(A_IBUF[5]), - .O(g15_b7_i_2__0_n_0)); - LUT3 #( - .INIT(8'h1E)) - g15_b7_i_2__1 - (.I0(A_IBUF[1]), - .I1(A_IBUF[0]), - .I2(A_IBUF[2]), - .O(sel[2])); - LUT4 #( - .INIT(16'h7F80)) - g15_b7_i_3 - (.I0(A_IBUF[1]), - .I1(A_IBUF[0]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .O(g15_b7_i_3_n_0)); - LUT4 #( - .INIT(16'h1FE0)) - g15_b7_i_3__0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .O(sel[3])); - LUT5 #( - .INIT(32'h7FFF8000)) - g15_b7_i_4 - (.I0(A_IBUF[2]), - .I1(A_IBUF[0]), - .I2(A_IBUF[1]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .O(g15_b7_i_4_n_0)); - LUT5 #( - .INIT(32'h57FFA800)) - g15_b7_i_4__0 - (.I0(A_IBUF[2]), - .I1(A_IBUF[1]), - .I2(A_IBUF[0]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .O(sel[4])); - LUT6 #( - .INIT(64'h7FFFFFFF80000000)) - g15_b7_i_5 - (.I0(A_IBUF[3]), - .I1(A_IBUF[1]), - .I2(A_IBUF[0]), - .I3(A_IBUF[2]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g15_b7_i_5_n_0)); - LUT6 #( - .INIT(64'h57FFFFFFA8000000)) - g15_b7_i_5__0 - (.I0(A_IBUF[3]), - .I1(A_IBUF[0]), - .I2(A_IBUF[1]), - .I3(A_IBUF[2]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(sel[5])); - LUT6 #( - .INIT(64'h51E9A02D5F71BDDD)) - g1_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b0_n_0)); - LUT6 #( - .INIT(64'h07EC63811AFE75BF)) - g1_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b0__0_n_0)); - LUT6 #( - .INIT(64'hA2D6501EAFB27EEE)) - g1_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b0__1_n_0)); - LUT6 #( - .INIT(64'hA2D6501EAFB27EEE)) - g1_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b0__2_n_0)); - LUT6 #( - .INIT(64'h79CD4A656993B0EA)) - g1_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b1_n_0)); - LUT6 #( - .INIT(64'h35ED1AC5B4CAE724)) - g1_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b1__0_n_0)); - LUT6 #( - .INIT(64'hB6CE859A966370D5)) - g1_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b1__1_n_0)); - LUT6 #( - .INIT(64'hB6CE859A966370D5)) - g1_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b1__2_n_0)); - LUT6 #( - .INIT(64'h34D707A747D4DCBD)) - g1_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b2_n_0)); - LUT6 #( - .INIT(64'hA4B78E990C5F57F3)) - g1_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b2__0_n_0)); - LUT6 #( - .INIT(64'h38EB0B5B8BE8EC7E)) - g1_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b2__1_n_0)); - LUT6 #( - .INIT(64'h38EB0B5B8BE8EC7E)) - g1_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b2__2_n_0)); - LUT6 #( - .INIT(64'hBEBAAAB69C55AD4F)) - g1_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b3_n_0)); - LUT6 #( - .INIT(64'hFF32FE0350B7F19D)) - g1_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b3__0_n_0)); - LUT6 #( - .INIT(64'h7D7555796CAA5E8F)) - g1_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b3__1_n_0)); - LUT6 #( - .INIT(64'h7D7555796CAA5E8F)) - g1_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b3__2_n_0)); - LUT6 #( - .INIT(64'h47FEDD39F682ADD6)) - g1_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b4_n_0)); - LUT6 #( - .INIT(64'h8F5F53FAEC70F41F)) - g1_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b4__0_n_0)); - LUT6 #( - .INIT(64'h8BFDEE36F9415EE9)) - g1_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b4__1_n_0)); - LUT6 #( - .INIT(64'h8BFDEE36F9415EE9)) - g1_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b4__2_n_0)); - LUT6 #( - .INIT(64'h94708343F29159A8)) - g1_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b5_n_0)); - LUT6 #( - .INIT(64'h4236C88C6CE21768)) - g1_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b5__0_n_0)); - LUT6 #( - .INIT(64'h68B04383F162A654)) - g1_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b5__1_n_0)); - LUT6 #( - .INIT(64'h68B04383F162A654)) - g1_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b5__2_n_0)); - LUT6 #( - .INIT(64'hC68ACA86B5982BB7)) - g1_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b6_n_0)); - LUT6 #( - .INIT(64'hCD50DC41653ABE8B)) - g1_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b6__0_n_0)); - LUT6 #( - .INIT(64'hC945C5497A64177B)) - g1_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b6__1_n_0)); - LUT6 #( - .INIT(64'hC945C5497A64177B)) - g1_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b6__2_n_0)); - LUT6 #( - .INIT(64'h1E5DD96920436757)) - g1_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g1_b7_n_0)); - LUT6 #( - .INIT(64'h19B753ECA084A8DF)) - g1_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g1_b7__0_n_0)); - LUT6 #( - .INIT(64'h2DAEE69610839BAB)) - g1_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g1_b7__1_n_0)); - LUT6 #( - .INIT(64'h2DAEE69610839BAB)) - g1_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g1_b7__2_n_0)); - LUT6 #( - .INIT(64'h12DB8364A03E3B52)) - g2_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b0_n_0)); - LUT6 #( - .INIT(64'h8DA64A0DE303B82E)) - g2_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b0__0_n_0)); - LUT6 #( - .INIT(64'h21E74398503D37A1)) - g2_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b0__1_n_0)); - LUT6 #( - .INIT(64'h21E74398503D37A1)) - g2_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b0__2_n_0)); - LUT6 #( - .INIT(64'h088D88EDB2C16C24)) - g2_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b1_n_0)); - LUT6 #( - .INIT(64'h158157856CA43251)) - g2_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b1__0_n_0)); - LUT6 #( - .INIT(64'h044E44DE71C29C18)) - g2_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b1__1_n_0)); - LUT6 #( - .INIT(64'h044E44DE71C29C18)) - g2_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b1__2_n_0)); - LUT6 #( - .INIT(64'h1CBB0431AE240FE8)) - g2_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b2_n_0)); - LUT6 #( - .INIT(64'h97B202927A111F1C)) - g2_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b2__0_n_0)); - LUT6 #( - .INIT(64'h2C7708325D180FD4)) - g2_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b2__1_n_0)); - LUT6 #( - .INIT(64'h2C7708325D180FD4)) - g2_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b2__2_n_0)); - LUT6 #( - .INIT(64'hE85114527B90C563)) - g2_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b3_n_0)); - LUT6 #( - .INIT(64'h70C680363C6AC2DC)) - g2_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b3__0_n_0)); - LUT6 #( - .INIT(64'hD4A228A1B760CA93)) - g2_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b3__1_n_0)); - LUT6 #( - .INIT(64'hD4A228A1B760CA93)) - g2_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b3__2_n_0)); - LUT6 #( - .INIT(64'h76386FA669ED996F)) - g2_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b4_n_0)); - LUT6 #( - .INIT(64'h2B72BE5937CDD3AD)) - g2_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b4__0_n_0)); - LUT6 #( - .INIT(64'hB9349F5996DE669F)) - g2_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b4__1_n_0)); - LUT6 #( - .INIT(64'hB9349F5996DE669F)) - g2_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b4__2_n_0)); - LUT6 #( - .INIT(64'hE7C53CFD1FCE2E5D)) - g2_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b5_n_0)); - LUT6 #( - .INIT(64'h6CDD37B79D3D3997)) - g2_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b5__0_n_0)); - LUT6 #( - .INIT(64'hDBCA3CFE2FCD1DAE)) - g2_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b5__1_n_0)); - LUT6 #( - .INIT(64'hDBCA3CFE2FCD1DAE)) - g2_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b5__2_n_0)); - LUT6 #( - .INIT(64'h7C10E32EFF23D0BD)) - g2_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b6_n_0)); - LUT6 #( - .INIT(64'h3072EB49FAF847E3)) - g2_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b6__0_n_0)); - LUT6 #( - .INIT(64'hBC20D31DFF13E07E)) - g2_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b6__1_n_0)); - LUT6 #( - .INIT(64'hBC20D31DFF13E07E)) - g2_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b6__2_n_0)); - LUT6 #( - .INIT(64'h056ECA721942D56C)) - g2_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g2_b7_n_0)); - LUT6 #( - .INIT(64'h831DDA46902C437D)) - g2_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g2_b7__0_n_0)); - LUT6 #( - .INIT(64'h0A9DC5B12681EA9C)) - g2_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g2_b7__1_n_0)); - LUT6 #( - .INIT(64'h0A9DC5B12681EA9C)) - g2_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g2_b7__2_n_0)); - LUT6 #( - .INIT(64'h9600AEC8DA6E25AD)) - g3_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b0_n_0)); - LUT6 #( - .INIT(64'h48307D14DB652799)) - g3_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b0__0_n_0)); - LUT6 #( - .INIT(64'h69005DC4E59D1A5E)) - g3_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b0__1_n_0)); - LUT6 #( - .INIT(64'h69005DC4E59D1A5E)) - g3_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b0__2_n_0)); - LUT6 #( - .INIT(64'h75FB8A90A6838CBC)) - g3_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b1_n_0)); - LUT6 #( - .INIT(64'hA7FE5C02EC905713)) - g3_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b1__0_n_0)); - LUT6 #( - .INIT(64'hBAF7456059434C7C)) - g3_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b1__1_n_0)); - LUT6 #( - .INIT(64'hBAF7456059434C7C)) - g3_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b1__2_n_0)); - LUT6 #( - .INIT(64'hB7FB6E3471A4C144)) - g3_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b2_n_0)); - LUT6 #( - .INIT(64'hEFBE3A532669404D)) - g3_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b2__0_n_0)); - LUT6 #( - .INIT(64'h7BF79D38B258C288)) - g3_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b2__1_n_0)); - LUT6 #( - .INIT(64'h7BF79D38B258C288)) - g3_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b2__2_n_0)); - LUT6 #( - .INIT(64'h66BA75CCC1BD861A)) - g3_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b3_n_0)); - LUT6 #( - .INIT(64'hAF52257D47CBC912)) - g3_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b3__0_n_0)); - LUT6 #( - .INIT(64'h9975BACCC27E4925)) - g3_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b3__1_n_0)); - LUT6 #( - .INIT(64'h9975BACCC27E4925)) - g3_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b3__2_n_0)); - LUT6 #( - .INIT(64'h0F487EECD299B22F)) - g3_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b4_n_0)); - LUT6 #( - .INIT(64'h191C3F754DE2EBA1)) - g3_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b4__0_n_0)); - LUT6 #( - .INIT(64'h0F84BDDCE166711F)) - g3_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b4__1_n_0)); - LUT6 #( - .INIT(64'h0F84BDDCE166711F)) - g3_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b4__2_n_0)); - LUT6 #( - .INIT(64'h48BBFB02E021D636)) - g3_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b5_n_0)); - LUT6 #( - .INIT(64'h97C2F86862C0CA73)) - g3_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b5__0_n_0)); - LUT6 #( - .INIT(64'h8477F701D012E939)) - g3_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b5__1_n_0)); - LUT6 #( - .INIT(64'h8477F701D012E939)) - g3_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b5__2_n_0)); - LUT6 #( - .INIT(64'h71FE4D794A47525E)) - g3_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b6_n_0)); - LUT6 #( - .INIT(64'hA76F13DE98C58967)) - g3_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b6__0_n_0)); - LUT6 #( - .INIT(64'hB2FD8EB6858BA1AD)) - g3_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b6__1_n_0)); - LUT6 #( - .INIT(64'hB2FD8EB6858BA1AD)) - g3_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b6__2_n_0)); - LUT6 #( - .INIT(64'h32F7F1453F71E45C)) - g3_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g3_b7_n_0)); - LUT6 #( - .INIT(64'hAEA760ED3ABE6157)) - g3_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g3_b7__0_n_0)); - LUT6 #( - .INIT(64'h31FBF28A3FB2D8AC)) - g3_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g3_b7__1_n_0)); - LUT6 #( - .INIT(64'h31FBF28A3FB2D8AC)) - g3_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g3_b7__2_n_0)); - LUT6 #( - .INIT(64'h6FC356C840E14C9A)) - g4_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b0_n_0)); - LUT6 #( - .INIT(64'hBCDC0D7406C49552)) - g4_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b0__0_n_0)); - LUT6 #( - .INIT(64'h9FC3A9C480D28C65)) - g4_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b0__1_n_0)); - LUT6 #( - .INIT(64'h9FC3A9C480D28C65)) - g4_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b0__2_n_0)); - LUT6 #( - .INIT(64'hC10C876C20C3B97C)) - g4_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b1_n_0)); - LUT6 #( - .INIT(64'h41494B1DA484732F)) - g4_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b1__0_n_0)); - LUT6 #( - .INIT(64'hC20C4B9C10C376BC)) - g4_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b1__1_n_0)); - LUT6 #( - .INIT(64'hC20C4B9C10C376BC)) - g4_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b1__2_n_0)); - LUT6 #( - .INIT(64'h9658F5D180A56FA5)) - g4_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b2_n_0)); - LUT6 #( - .INIT(64'h493664FE46813ED9)) - g4_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b2__0_n_0)); - LUT6 #( - .INIT(64'h69A4FAE2405A9F5A)) - g4_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b2__1_n_0)); - LUT6 #( - .INIT(64'h69A4FAE2405A9F5A)) - g4_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b2__2_n_0)); - LUT6 #( - .INIT(64'hD865067860E51508)) - g4_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b3_n_0)); - LUT6 #( - .INIT(64'h52E50B1626C50138)) - g4_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b3__0_n_0)); - LUT6 #( - .INIT(64'hE49A09B490DA2A04)) - g4_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b3__1_n_0)); - LUT6 #( - .INIT(64'hE49A09B490DA2A04)) - g4_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b3__2_n_0)); - LUT6 #( - .INIT(64'h64EE201C4FF709E7)) - g4_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b4_n_0)); - LUT6 #( - .INIT(64'hA75521039EDF968D)) - g4_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b4__0_n_0)); - LUT6 #( - .INIT(64'h98DD102C8FFB06DB)) - g4_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b4__1_n_0)); - LUT6 #( - .INIT(64'h98DD102C8FFB06DB)) - g4_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b4__2_n_0)); - LUT6 #( - .INIT(64'hB564856332DA69A9)) - g4_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b5_n_0)); - LUT6 #( - .INIT(64'h623DC29CAD2637C8)) - g4_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b5__0_n_0)); - LUT6 #( - .INIT(64'h7A984A9331E59656)) - g4_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b5__1_n_0)); - LUT6 #( - .INIT(64'h7A984A9331E59656)) - g4_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b5__2_n_0)); - LUT6 #( - .INIT(64'h93CF9DD320CC6EE2)) - g4_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b6_n_0)); - LUT6 #( - .INIT(64'hCDADD4BE2505BE54)) - g4_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b6__0_n_0)); - LUT6 #( - .INIT(64'h63CF6EE310CC9DD1)) - g4_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b6__1_n_0)); - LUT6 #( - .INIT(64'h63CF6EE310CC9DD1)) - g4_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b6__2_n_0)); - LUT6 #( - .INIT(64'hC3D402F46CCBEFDB)) - g4_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g4_b7_n_0)); - LUT6 #( - .INIT(64'h4C4F0E07B5D4FDDE)) - g4_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g4_b7__0_n_0)); - LUT6 #( - .INIT(64'hC3E801F89CC7DFE7)) - g4_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g4_b7__1_n_0)); - LUT6 #( - .INIT(64'hC3E801F89CC7DFE7)) - g4_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g4_b7__2_n_0)); - LUT6 #( - .INIT(64'h3644F01ED12CE302)) - g5_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b0_n_0)); - LUT6 #( - .INIT(64'h2835E1634369E848)) - g5_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b0__0_n_0)); - LUT6 #( - .INIT(64'h3988F02DE21CD301)) - g5_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b0__1_n_0)); - LUT6 #( - .INIT(64'h3988F02DE21CD301)) - g5_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b0__2_n_0)); - LUT6 #( - .INIT(64'hD832302676257E3F)) - g5_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b1_n_0)); - LUT6 #( - .INIT(64'hD262A2212AF1BBF3)) - g5_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b1__0_n_0)); - LUT6 #( - .INIT(64'hE4313019B91ABD3F)) - g5_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b1__1_n_0)); - LUT6 #( - .INIT(64'hE4313019B91ABD3F)) - g5_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b1__2_n_0)); - LUT6 #( - .INIT(64'h3B21EC4536A92507)) - g5_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b2_n_0)); - LUT6 #( - .INIT(64'h3AA870D52FB0A099)) - g5_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b2__0_n_0)); - LUT6 #( - .INIT(64'h3712DC8A39561A0B)) - g5_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b2__1_n_0)); - LUT6 #( - .INIT(64'h3712DC8A39561A0B)) - g5_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b2__2_n_0)); - LUT6 #( - .INIT(64'h480EC765729F4CEB)) - g5_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b3_n_0)); - LUT6 #( - .INIT(64'h91414ADDADE397D4)) - g5_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b3__0_n_0)); - LUT6 #( - .INIT(64'h840DCB9AB16F8CD7)) - g5_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b3__1_n_0)); - LUT6 #( - .INIT(64'h840DCB9AB16F8CD7)) - g5_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b3__2_n_0)); - LUT6 #( - .INIT(64'hC795F38EC1A1C3A7)) - g5_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b4_n_0)); - LUT6 #( - .INIT(64'h4CDBED6946C8CEC9)) - g5_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b4__0_n_0)); - LUT6 #( - .INIT(64'hCB6AF34DC252C35B)) - g5_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b4__1_n_0)); - LUT6 #( - .INIT(64'hCB6AF34DC252C35B)) - g5_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b4__2_n_0)); - LUT6 #( - .INIT(64'h6B8F7CBC0D6EA657)) - g5_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b5_n_0)); - LUT6 #( - .INIT(64'hBDC93773931DE897)) - g5_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b5__0_n_0)); - LUT6 #( - .INIT(64'h974FBC7C0E9D59AB)) - g5_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b5__1_n_0)); - LUT6 #( - .INIT(64'h974FBC7C0E9D59AB)) - g5_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b5__2_n_0)); - LUT6 #( - .INIT(64'hAD05B63AB8F68DE0)) - g5_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b6_n_0)); - LUT6 #( - .INIT(64'h7099EB32F627561C)) - g5_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b6__0_n_0)); - LUT6 #( - .INIT(64'h5E0A793574F94ED0)) - g5_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b6__1_n_0)); - LUT6 #( - .INIT(64'h5E0A793574F94ED0)) - g5_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b6__2_n_0)); - LUT6 #( - .INIT(64'hC8A712AED7DA1CE8)) - g5_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g5_b7_n_0)); - LUT6 #( - .INIT(64'hD6C18F21CD7E1734)) - g5_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g5_b7__0_n_0)); - LUT6 #( - .INIT(64'hC45B215DEBE52CD4)) - g5_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g5_b7__1_n_0)); - LUT6 #( - .INIT(64'hC45B215DEBE52CD4)) - g5_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g5_b7__2_n_0)); - LUT6 #( - .INIT(64'hEB5CF99684AAF470)) - g6_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b0_n_0)); - LUT6 #( - .INIT(64'h794FF46BC7106276)) - g6_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b0__0_n_0)); - LUT6 #( - .INIT(64'hD7ACF6694855F8B0)) - g6_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b0__1_n_0)); - LUT6 #( - .INIT(64'hD7ACF6694855F8B0)) - g6_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b0__2_n_0)); - LUT6 #( - .INIT(64'h9FB21157825091B4)) - g6_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b1_n_0)); - LUT6 #( - .INIT(64'hDE3A80AF4806462B)) - g6_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b1__0_n_0)); - LUT6 #( - .INIT(64'h6F7122AB41A06278)) - g6_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b1__1_n_0)); - LUT6 #( - .INIT(64'h6F7122AB41A06278)) - g6_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b1__2_n_0)); - LUT6 #( - .INIT(64'hBA15D401E5AD3D43)) - g6_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b2_n_0)); - LUT6 #( - .INIT(64'h78A340F067D9B0BC)) - g6_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b2__0_n_0)); - LUT6 #( - .INIT(64'h752AE802DA5E3E83)) - g6_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b2__1_n_0)); - LUT6 #( - .INIT(64'h752AE802DA5E3E83)) - g6_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b2__2_n_0)); - LUT6 #( - .INIT(64'hF4B82FB49F20D093)) - g6_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b3_n_0)); - LUT6 #( - .INIT(64'h67723E1B5A38C4E2)) - g6_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b3__0_n_0)); - LUT6 #( - .INIT(64'hF8741F786F10E063)) - g6_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b3__1_n_0)); - LUT6 #( - .INIT(64'hF8741F786F10E063)) - g6_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b3__2_n_0)); - LUT6 #( - .INIT(64'hDB0AC8C367D1FB32)) - g6_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b4_n_0)); - LUT6 #( - .INIT(64'hD968D4C42CDEFA6A)) - g6_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b4__0_n_0)); - LUT6 #( - .INIT(64'hE705C4C39BE2F731)) - g6_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b4__1_n_0)); - LUT6 #( - .INIT(64'hE705C4C39BE2F731)) - g6_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b4__2_n_0)); - LUT6 #( - .INIT(64'h183AC302E031D1A2)) - g6_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b5_n_0)); - LUT6 #( - .INIT(64'h9322C84862C2C668)) - g6_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b5__0_n_0)); - LUT6 #( - .INIT(64'h2435C301D032E251)) - g6_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b5__1_n_0)); - LUT6 #( - .INIT(64'h2435C301D032E251)) - g6_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b5__2_n_0)); - LUT6 #( - .INIT(64'h642A202C1F12011F)) - g6_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b6_n_0)); - LUT6 #( - .INIT(64'hA3502301983A818B)) - g6_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b6__0_n_0)); - LUT6 #( - .INIT(64'h9815101C2F21022F)) - g6_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b6__1_n_0)); - LUT6 #( - .INIT(64'h9815101C2F21022F)) - g6_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b6__2_n_0)); - LUT6 #( - .INIT(64'hFABB358DE6AD2B91)) - g6_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g6_b7_n_0)); - LUT6 #( - .INIT(64'hFFE225B96FD13C8A)) - g6_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g6_b7__0_n_0)); - LUT6 #( - .INIT(64'hF5773A4ED95E1762)) - g6_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g6_b7__1_n_0)); - LUT6 #( - .INIT(64'hF5773A4ED95E1762)) - g6_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g6_b7__2_n_0)); - LUT6 #( - .INIT(64'h4C93CB456252E87E)) - g7_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b0_n_0)); - LUT6 #( - .INIT(64'h94D258CDA846F347)) - g7_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b0__0_n_0)); - LUT6 #( - .INIT(64'h8C63C78A91A1D4BD)) - g7_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b0__1_n_0)); - LUT6 #( - .INIT(64'h8C63C78A91A1D4BD)) - g7_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b0__2_n_0)); - LUT6 #( - .INIT(64'h02411B37BC076BF9)) - g7_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b1_n_0)); - LUT6 #( - .INIT(64'h08849AABF0B13FCE)) - g7_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b1__0_n_0)); - LUT6 #( - .INIT(64'h0182273B7C0B97F6)) - g7_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b1__1_n_0)); - LUT6 #( - .INIT(64'h0182273B7C0B97F6)) - g7_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b1__2_n_0)); - LUT6 #( - .INIT(64'hB2D3FA35FF37D702)) - g7_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b2_n_0)); - LUT6 #( - .INIT(64'hECA67AE3FAFBC878)) - g7_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b2__0_n_0)); - LUT6 #( - .INIT(64'h71E3F53AFF3BEB01)) - g7_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b2__1_n_0)); - LUT6 #( - .INIT(64'h71E3F53AFF3BEB01)) - g7_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b2__2_n_0)); - LUT6 #( - .INIT(64'h6CF4E517BF85CDC1)) - g7_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b3_n_0)); - LUT6 #( - .INIT(64'h3657E0DB7CB954DC)) - g7_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b3__0_n_0)); - LUT6 #( - .INIT(64'h9CF8DA2B7F4ACEC2)) - g7_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b3__1_n_0)); - LUT6 #( - .INIT(64'h9CF8DA2B7F4ACEC2)) - g7_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b3__2_n_0)); - LUT6 #( - .INIT(64'h1FE9C82F6B6B2029)) - g7_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b4_n_0)); - LUT6 #( - .INIT(64'h1FBCD3C1BBCC2380)) - g7_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b4__0_n_0)); - LUT6 #( - .INIT(64'h2FD6C41F97971016)) - g7_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b4__1_n_0)); - LUT6 #( - .INIT(64'h2FD6C41F97971016)) - g7_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b4__2_n_0)); - LUT6 #( - .INIT(64'hB74404FD1FDE29C9)) - g7_b5 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b5_n_0)); - LUT6 #( - .INIT(64'h683D07979D3F358C)) - g7_b5__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b5__0_n_0)); - LUT6 #( - .INIT(64'h7B8808FE2FED16C6)) - g7_b5__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b5__1_n_0)); - LUT6 #( - .INIT(64'h7B8808FE2FED16C6)) - g7_b5__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b5__2_n_0)); - LUT6 #( - .INIT(64'hC6BA498455997B97)) - g7_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b6_n_0)); - LUT6 #( - .INIT(64'hCF52144905FABCEB)) - g7_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b6__0_n_0)); - LUT6 #( - .INIT(64'hC9758648AA66B76B)) - g7_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b6__1_n_0)); - LUT6 #( - .INIT(64'hC9758648AA66B76B)) - g7_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b6__2_n_0)); - LUT6 #( - .INIT(64'h4B080E3ED5163222)) - g7_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g7_b7_n_0)); - LUT6 #( - .INIT(64'h19489B13C07BAA20)) - g7_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g7_b7__0_n_0)); - LUT6 #( - .INIT(64'h87040D3DEA293111)) - g7_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g7_b7__1_n_0)); - LUT6 #( - .INIT(64'h87040D3DEA293111)) - g7_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g7_b7__2_n_0)); - LUT6 #( - .INIT(64'h24A6969AA4FE19D0)) - g8_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g8_b0_n_0)); - LUT6 #( - .INIT(64'hA611CD32E717142E)) - g8_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g8_b0__0_n_0)); - LUT6 #( - .INIT(64'h1859696558FD26E0)) - g8_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g8_b0__1_n_0)); - LUT6 #( - .INIT(64'h1859696558FD26E0)) - g8_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g8_b0__2_n_0)); - LUT6 #( - .INIT(64'h654043D81A8450DB)) - g8_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g8_b1_n_0)); - LUT6 #( - .INIT(64'h205C0D4E1C2185E6)) - g8_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g8_b1__0_n_0)); - LUT6 #( - .INIT(64'h9A8083E42548A0E7)) - g8_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g8_b1__1_n_0)); - LUT6 #( - .INIT(64'h9A8083E42548A0E7)) - g8_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g8_b1__2_n_0)); - LUT6 #( - .INIT(64'h0F1AFB1F907A7CB5)) - g8_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g8_b2_n_0)); - LUT6 #( - .INIT(64'h991AF9EBC32636F3)) - g8_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g8_b2__0_n_0)); - LUT6 #( - .INIT(64'h0F25F72F60B5BC7A)) - g8_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g8_b2__1_n_0)); - LUT6 #( - .INIT(64'h0F25F72F60B5BC7A)) - g8_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g8_b2__2_n_0)); - LUT6 #( - .INIT(64'h4AC706708861C57C)) - g8_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g8_b3_n_0)); - LUT6 #( - .INIT(64'h9CC50A165284435F)) - g8_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g8_b3__0_n_0)); - LUT6 #( - .INIT(64'h85CB09B04492CABC)) - g8_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g8_b3__1_n_0)); - LUT6 #( - .INIT(64'h85CB09B04492CABC)) - g8_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g8_b3__2_n_0)); - LUT6 #( - .INIT(64'hD74A1E50ECFD94C6)) - g8_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g8_b4_n_0)); - LUT6 #( - .INIT(64'hC97C183677D7C435)) - g8_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g8_b4__0_n_0)); - LUT6 #( - .INIT(64'hEB852DA0DCFE68C9)) - g8_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g8_b4__1_n_0)); - LUT6 #( - .INIT(64'hEB852DA0DCFE68C9)) - g8_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g8_b4__2_n_0)); - LUT6 #( - .INIT(64'hD954E74FEDE9F8B4)) - g8_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g8_b6_n_0)); - LUT6 #( - .INIT(64'h506FE9DD77DC7663)) - g8_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g8_b6__0_n_0)); - LUT6 #( - .INIT(64'hE6A8DB8FDED6F478)) - g8_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g8_b6__1_n_0)); - LUT6 #( - .INIT(64'hE6A8DB8FDED6F478)) - g8_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g8_b6__2_n_0)); - LUT6 #( - .INIT(64'h743B9F075E158030)) - g8_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g8_b7_n_0)); - LUT6 #( - .INIT(64'hA3F2D8B918F34202)) - g8_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g8_b7__0_n_0)); - LUT6 #( - .INIT(64'hB8376F0BAD2A4030)) - g8_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g8_b7__1_n_0)); - LUT6 #( - .INIT(64'hB8376F0BAD2A4030)) - g8_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g8_b7__2_n_0)); - LUT6 #( - .INIT(64'h7AA6F9214334D059)) - g9_b0 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g9_b0_n_0)); - LUT6 #( - .INIT(64'hBE6172E80A4B41E6)) - g9_b0__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g9_b0__0_n_0)); - LUT6 #( - .INIT(64'hB559F6128338E0A6)) - g9_b0__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g9_b0__1_n_0)); - LUT6 #( - .INIT(64'hB559F6128338E0A6)) - g9_b0__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g9_b0__2_n_0)); - LUT6 #( - .INIT(64'hA990ABE4F9BE1939)) - g9_b1 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g9_b1_n_0)); - LUT6 #( - .INIT(64'h740A7E0DF76B13AA)) - g9_b1__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g9_b1__0_n_0)); - LUT6 #( - .INIT(64'h566057D8F67D2636)) - g9_b1__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g9_b1__1_n_0)); - LUT6 #( - .INIT(64'h566057D8F67D2636)) - g9_b1__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g9_b1__2_n_0)); - LUT6 #( - .INIT(64'h7E5B097A32926544)) - g9_b2 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g9_b2_n_0)); - LUT6 #( - .INIT(64'hB9F6930EAC22205D)) - g9_b2__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g9_b2__0_n_0)); - LUT6 #( - .INIT(64'hBDA706B531619A88)) - g9_b2__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g9_b2__1_n_0)); - LUT6 #( - .INIT(64'hBDA706B531619A88)) - g9_b2__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g9_b2__2_n_0)); - LUT6 #( - .INIT(64'h44A8966AA06CBE0D)) - g9_b3 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g9_b3_n_0)); - LUT6 #( - .INIT(64'h0750CB34630579B1)) - g9_b3__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g9_b3__0_n_0)); - LUT6 #( - .INIT(64'h88546995509C7D0E)) - g9_b3__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g9_b3__1_n_0)); - LUT6 #( - .INIT(64'h88546995509C7D0E)) - g9_b3__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g9_b3__2_n_0)); - LUT6 #( - .INIT(64'hF767B6505BFC19F4)) - g9_b4 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g9_b4_n_0)); - LUT6 #( - .INIT(64'hEAFD68361F6F162F)) - g9_b4__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g9_b4__0_n_0)); - LUT6 #( - .INIT(64'hFB9B79A0A7FC26F8)) - g9_b4__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g9_b4__1_n_0)); - LUT6 #( - .INIT(64'hFB9B79A0A7FC26F8)) - g9_b4__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g9_b4__2_n_0)); - LUT6 #( - .INIT(64'h397535794A67D448)) - g9_b6 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g9_b6_n_0)); - LUT6 #( - .INIT(64'h32AF23BE9AC54174)) - g9_b6__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g9_b6__0_n_0)); - LUT6 #( - .INIT(64'h36BA3AB6859BE884)) - g9_b6__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g9_b6__1_n_0)); - LUT6 #( - .INIT(64'h36BA3AB6859BE884)) - g9_b6__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g9_b6__2_n_0)); - LUT6 #( - .INIT(64'h2728EC106A24B31F)) - g9_b7 - (.I0(A_IBUF[0]), - .I1(A_IBUF[1]), - .I2(A_IBUF[2]), - .I3(A_IBUF[3]), - .I4(A_IBUF[4]), - .I5(A_IBUF[5]), - .O(g9_b7_n_0)); - LUT6 #( - .INIT(64'h2B1870523A41E9AB)) - g9_b7__0 - (.I0(A_IBUF[1]), - .I1(A_IBUF[2]), - .I2(A_IBUF[3]), - .I3(A_IBUF[0]), - .I4(g15_b7_i_1__1_n_0), - .I5(g15_b7_i_2__0_n_0), - .O(g9_b7__0_n_0)); - LUT6 #( - .INIT(64'h1B14DC209518732F)) - g9_b7__1 - (.I0(A_IBUF[0]), - .I1(sel[1]), - .I2(sel[2]), - .I3(sel[3]), - .I4(sel[4]), - .I5(sel[5]), - .O(g9_b7__1_n_0)); - LUT6 #( - .INIT(64'h1B14DC209518732F)) - g9_b7__2 - (.I0(A_IBUF[0]), - .I1(g15_b7_i_1__0_n_0), - .I2(g15_b7_i_2_n_0), - .I3(g15_b7_i_3_n_0), - .I4(g15_b7_i_4_n_0), - .I5(g15_b7_i_5_n_0), - .O(g9_b7__2_n_0)); +always_comb begin + case(addr_i > {12{1'b1}}) + 0: begin + read_data_o['h1f:'h1c]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{5{1'b1}}:{3'd7,2'b00}]; + read_data_o[42-23-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][19:{1'b1,4'h0}]; + read_data_o[`akjsdnnaskjdn-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{3{1'b1}}:{1'b1,2'h0}]; + read_data_o[42-19-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][23:{{2{2'b10}},1'b0}]; + read_data_o['h1b:'h18]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][27:{2'b11,3'b000}]; + read_data_o[`akjsdnnaskjdn+`asdasdhkjasdsa:(`akjsdnnaskjdn+`asdasdhkjasdsa)-`cdyfguvhbjnmk]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][11:8]; + read_data_o[`akjsdnnaskjdn-`asdasdhkjasdsa-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][3:0]; + read_data_o[(`akjsdnnaskjdn<<(`asdasdhkjasdsa-`cdyfguvhbjnmk)) + (`asdasdhkjasdsa-`cdyfguvhbjnmk):12 ]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{4{1'b1}}:12]; + end + default: read_data_o = 'hBA & 'h45; + endcase +end endmodule - From c4a02be797ccfba4668e74ac65e92fcabdaef652 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sat, 16 Sep 2023 20:46:58 +0300 Subject: [PATCH 02/35] =?UTF-8?q?=D0=9E=D0=B1=D0=BD=D0=BE=D0=B2=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=20=D1=81=D0=BF=D0=B8=D1=81=D0=BE=D0=BA=20=D0=BF?= =?UTF-8?q?=D0=BE=D0=BB=D0=B5=D0=B7=D0=BD=D1=8B=D1=85=20=D1=81=D1=81=D1=8B?= =?UTF-8?q?=D0=BB=D0=BE=D0=BA?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/README.md | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/Labs/README.md b/Labs/README.md index 9585f62f..42bc0d2d 100644 --- a/Labs/README.md +++ b/Labs/README.md @@ -28,10 +28,8 @@ - [Студенческий сервер](../Other/Students%20server.md) - [Создание базового проекта с прошивкой ПЛИС в Vivado](../Vivado%20Basics/Vivado%20trainer.md) -- [Что такое язык описания аппаратуры HDL](../Introduction/What%20is%20HDL.md) -- [Как работает ПЛИС](../Introduction/How%20FPGA%20works.md) -- [Синтаксис языка Verilog](../Basic%20Verilog%20structures/Verilog%20syntax.md) - [Базовые конструкции Verilog](../Basic%20Verilog%20structures/) +- [Список типичных ошибок в Vivado и SystemVerilog](../Other/FAQ.md) - [Тестовое окружение](../Basic%20Verilog%20structures/Testbench.md) ## Порядок выполнения лабораторных работ для групп From d54291dad357d6f28485e84b9c9e3c93443d6ab6 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sat, 16 Sep 2023 20:50:22 +0300 Subject: [PATCH 03/35] Update FAQ.md --- Other/FAQ.md | 56 ++++++++++++++++++++++++++-------------------------- 1 file changed, 28 insertions(+), 28 deletions(-) diff --git a/Other/FAQ.md b/Other/FAQ.md index 0f18b31d..ffe1356f 100644 --- a/Other/FAQ.md +++ b/Other/FAQ.md @@ -1,18 +1,18 @@ -# Список типичных ошибок при работе с Vivado и Verilog +# Список типичных ошибок при работе с Vivado и SystemVerilog ## Содержание -1. [Ошибки, связанные с САПР Vivado](#ошибки-связанные-с-сапр-vivado) -1.1 [Не запускается симуляция: FATAL_ERROR: PrivateChannel: Error creating client socket](#не-запускается-симуляция-fatal_error-privatechannel-error-creating-client-socket) -1.2 [Не запускается симуляция: boot::filesystem::remove: Процесс не может получить доступ к файлу, т.к. этот файл занят другим процессом](#не-запускается-симуляция-boot-filesystem-remove-процесс-не-может-получить-доступ-к-файлу) -1.3 [Вылетает Vivado при попытке открыть схему](#вылетает-vivado-при-попытке-открыть-схему) -1.4 [Не устанавливается Vivado: The following fatal error encountered while installing files: Unable to open archive](#не-устанавливается-vivado-unable-to-open-archive) +- [Список типичных ошибок при работе с Vivado и SystemVerilog](#список-типичных-ошибок-при-работе-с-vivado-и-systemverilog) + - [Содержание](#содержание) + - [Ошибки связанные с САПР Vivado](#ошибки-связанные-с-сапр-vivado) + - [Не запускается симуляция FATAL\_ERROR PrivateChannel Error creating client socket](#не-запускается-симуляция-fatal_error-privatechannel-error-creating-client-socket) + - [Не запускается симуляция boot filesystem remove Процесс не может получить доступ к файлу](#не-запускается-симуляция-boot-filesystem-remove-процесс-не-может-получить-доступ-к-файлу) + - [Вылетает Vivado при попытке открыть схему](#вылетает-vivado-при-попытке-открыть-схему) + - [Не устанавливается Vivado Unable to open archive](#не-устанавливается-vivado-unable-to-open-archive) + - [Ошибки синтаксиса языка SystemVerilog](#ошибки-синтаксиса-языка-systemverilog) + - [имя сигнала is not a type](#имя-сигнала-is-not-a-type) + - [cannot find port on this module](#cannot-find-port-on-this-module) -2. [Ошибки синтаксиса языка Verilog](#ошибки-синтаксиса-языка-verilog) -2.1 [concurrent assignment to a non-net is not permitted](#concurrent-assignment-to-a-non-net-is-not-permitted) -2.2 [procedural assignment to a non-register test is not permitted, left-hand side should be reg/integer/time/genvar](#procedural-assignment-to-a-non-register-test-is-not-permitted-left-hand-side-should-be-reg) -2.3 ['имя сигнала' is not a type](#имя-сигнала-is-not-a-type) -2.4 [cannot find port on this module](#cannot-find-port-on-this-module) ## Ошибки связанные с САПР Vivado @@ -78,26 +78,26 @@ --- -## Ошибки синтаксиса языка Verilog +## Ошибки синтаксиса языка SystemVerilog -### concurrent assignment to a non-net is not permitted + -### procedural assignment to a non-register test is not permitted left-hand side should be reg + ### имя сигнала is not a type Скорее всего, компилятор не распознал присваивание, поскольку оно было записано с ошибками. Вне блоков `always` и `initial` можно выполнять только непрерывное присваивание (через `assign`). -```Verilog -module adder(input a, input b, output c); +```SystemVerilog +module half_adder(input logic a, input logic b, output logic c); c = a ^ b; // ошибка, для непрерывного присваивания // необходимо ключевое слово assign endmodule @@ -131,17 +131,17 @@ endmodule Пример -```Verilog -module adder(input a, input b, output c); +```SystemVerilog +module half_adder(input logic a, input logic b, output logic c); assign c = a ^ b; -endmodule +endmodule module testbench(); -reg A, B; -wire C; +logic A, B, C; + adder DUT( .A(A), // <- здесь будет ошибка, - // т.к. в модуле adder нет порта 'A' + // т.к. в модуле half_adder нет порта 'A' .b(B), .c(C) ); From cf806ccca7c7b6fa71498b02c5e147439563958e Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sat, 16 Sep 2023 21:14:25 +0300 Subject: [PATCH 04/35] =?UTF-8?q?=D0=94=D0=BE=D0=B1=D0=B0=D0=B2=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=D0=B0=20=D0=B4=D0=BE=D0=BF=20=D0=BB=D0=B8=D1=82?= =?UTF-8?q?=D0=B5=D1=80=D0=B0=D1=82=D1=83=D1=80=D0=B0=20=D0=B8=20=D0=BF?= =?UTF-8?q?=D0=BE=D0=BB=D0=B5=D0=B7=D0=BD=D1=8B=D0=B5=20=D1=81=D1=81=D1=8B?= =?UTF-8?q?=D0=BB=D0=BA=D0=B8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .pic/Other/Further readings/arm.jpg | Bin 0 -> 60134 bytes .pic/Other/Further readings/code.jpg | Bin 0 -> 17556 bytes .pic/Other/Further readings/digitaldesign.png | Bin 0 -> 119817 bytes .pic/Other/Further readings/harris.png | Bin 0 -> 131667 bytes .pic/Other/Further readings/manga.jpg | Bin 0 -> 90682 bytes .pic/Other/Further readings/orlov.jpg | Bin 0 -> 55924 bytes .pic/Other/Further readings/patterson1.jpg | Bin 0 -> 60331 bytes .pic/Other/Further readings/patterson2.jpg | Bin 0 -> 34865 bytes .pic/Other/Further readings/svbook.png | Bin 0 -> 248556 bytes .pic/Other/Further readings/tanenbaum.jpg | Bin 0 -> 55289 bytes .pic/Other/Further readings/vt.jpg | Bin 0 -> 23355 bytes Other/Extras.md | 21 +++++ Other/Further readings.md | 82 ++++++++++++++++++ README.md | 4 +- 14 files changed, 105 insertions(+), 2 deletions(-) create mode 100644 .pic/Other/Further readings/arm.jpg create mode 100644 .pic/Other/Further readings/code.jpg create mode 100644 .pic/Other/Further readings/digitaldesign.png create mode 100644 .pic/Other/Further readings/harris.png create mode 100644 .pic/Other/Further readings/manga.jpg create mode 100644 .pic/Other/Further readings/orlov.jpg create mode 100644 .pic/Other/Further readings/patterson1.jpg create mode 100644 .pic/Other/Further readings/patterson2.jpg create mode 100644 .pic/Other/Further readings/svbook.png create mode 100644 .pic/Other/Further readings/tanenbaum.jpg create mode 100644 .pic/Other/Further readings/vt.jpg create mode 100644 Other/Extras.md create mode 100644 Other/Further readings.md diff --git a/.pic/Other/Further readings/arm.jpg b/.pic/Other/Further readings/arm.jpg new file mode 100644 index 0000000000000000000000000000000000000000..61a3c02fe69abf885f1ad2cdd8d15ec4fb9891d6 GIT binary patch literal 60134 zcmbTdXIxWX5H=X3cj=udRhmez5>aU)f*>7?O78+n4GDsPQUwGAl!)}+K@S~AkZxuk^gmWO>^mg_BjUv zCHa8p{%0R2>gRurI`V&4|Ibg__cZ@|#P{d^uii9@?`i+ndEI}Po%MjYm}u%~6lrNB zKZ8!a zQ2!62>9$?HwMeAW{hb?kE-tEE=jiBY=@|c$i{@PTe}Z$-(O*FEEPqyHw4fu5d`fsT%WnL3aEHF^eS7G@@v|Gu122l;P=|E{9G znW$I&k1qe84rj|$qR}3j_gC5VdvPqkhG=-5Gw@Exca*6?u)kKaf0+ z7cKJ4Sl!%`mI+Nf19?AcE-RQzWAupxb$q_e7_>}dvt1{LLnoWq1;~9o02ov z7OoL&r=!;Nrkm-$%0h*jo}#z=O0~A&8q)Bn_RqsCql-)NMQ^qY`s$frx$^D-C5hTS zjAh<8?D!IYh6w2Vj<$VlCi+;-W`xi1U`dlhRcGvG@^442fZLQO`(Ko~1X9be{l|Id{DJRA7C_=oI`$JKw!;;`G$5l(w=wFBn`Vx{nr=h2|<+duZ*N&vZ zDz9)j zOXEVKr+6>#)(-#KH|%8c3aBpTeWmMF@R)<|g~#2ussA)&Muz1}L_;lBgNID7o?4}P ztQ*5-tO{encaXO-yq7?a)>rC&%j#cCN_5!8knMvFo+`GrM;JOIzu4oteVCj zCPKrOcj#So7jB|xr(bgmQlE*YYJ6#*KOlEDX>p+BEW6c(QACWuTo z3e1AHG`P|VEOtU742H$y>Ul5l6zPheyzesqI!>Hl6aQ8CajMCRJk=VUnh}+n*wdFI z)->5F7_{ZR7^}N}&r=`Y$xHiZ;gy;e=PSV`{i4<*>!o$Aa^G>b>!Gn~J;&wv*kY#p zOO#foAR|4Hjy};Q^L3@_^)lk2sl$2|BgxmQ2c+Nj2mR$<2kqHU3fv32>{vrL&!1Om z%DY8}RavZXn!_{O;?6(`>6_5yZ_JEBLV_AlYmmbGzKosGbo+8vW1Z6(cn8ec;^(6i zW4DK|f)CHBxCZBzPdbS%E#?I)Ue8Qji}jsi2;OYE7{aOacE)00@?B<5>|6J&C^yn( z*e`H)!L)k%mAbh&8ya=dL3;7pVJJxMtW`0Ea}#qxw0nU5K-kLY@6b%TV7W2XW{XDVM@yL zWaT%prJv7tWj`C2!g!X+23=S+(bm~SMM5wy;Pik!UXQRsuA#^SsJ;~r{OmKB90np5 zF>E;*^kgLH$&1~m>>*flM*ahZ3-{Vvy;tQ89FD6oqV^l(RA=ON23oKgM$kY!(F;L+ zNDkOlEN2o*NZ&^GIgGyaciw?Tz3=Ie*Q;LfH#5JRoH8V~c=8Fuyw}a>f@?mR7`$&a zct|gjB`CLcwW%m4k1)y{RIfe~?_UAHI!9B{1@E=9`zzHU3w?PngGtYSTQM?ttYnFeiw&Ye< zw1;l0xyIs^bQ`+pwGNiA34C{a0&iuR96ZOI1G%{WlRSD~Ew-3=B^q&yEK7cK2Ex1s zw+rn0;G+AWt@iyvfER)Zc7FP3*W{Y3+pvL7;q}hOk1e0FW;{PO`w4h}z2hA2@>{CB zWvgb(90Nuv%m`v&gc_k^0`YJNFew9&Yb#Y1h#(*KlD`zs_$u$k^ zo2Jiyj!e8yzM(F2*McRa^W>lN#zOTKr?<(xiFp}z5-8I%kbedsZND2z6!Q5Y;5PBtR9x9kuvtQ&%A@pOy&9EgTk3{E;>7sOW+#t@BCrV zmM#N*Ahg7%=pugnMFT910O!V0-odjpN}GK{9Tj(_ii~;=1{)h?BzQI;SJpoy%oJ3ryvq_A$lrYfSs26t5P za;F>z?=-0Lkxrd5KgXZt=NInCx;Prkm6PYkpyL4zCFi0r*~qrxT`yeNI3XVQWozdI z7lauqR-7r~pXru~=(gaY@Q9R3L$FXbyD6>tl$sAqomLw{CF!Q$Mt=Nm-+_A-vz%kf zd7Cwu7>R+$gX`FHTrk7qvfgtZY!eX3O$%(4DO#NT?Na+4{#D9e+>vdh(c}z7H$kpCLM%+(C%=R-M&lT< zsG()XTe{<^Pr>ZKC#)qiz(BuwZ|3Re6m8N=tX*uEO21V8$5eTY>F>^;rI|Pyx&yHe z&^1XC*;kY1n&_SzI%BAN6WAc0BLxA0~6qilu73aaD`YeUO z=YMyIOGM7lPX&5-OGHR}d9h$jQ{A3OOQ{Sif4o6`vmFS5mfoqqEWg=_blb9-JhWZU z*t}5h475N?5zQSW?rlOXxvn)xJYuac1LwCY+dIy>JzFF=Lkhxk4rG-4;r5-G<#x98 zsn|_e|DrN_-Y)O>E~g48JmwF$q=LkSBuvB;Y6=NA@D|5Ac&bx0U(LksiVO&&Qpz*> zR}`nxM%ryB_w44zug<<1Y4HD9W7Ns)$$isR{zdkI&8C%(Xebh2W8%G30f9P^eSs9J zn0LwTFlIbzb${pZ!(T)TWZ(?+QurvbtY(>$6PWR6!i6E-*2y1^p#_`MQq3J;pXUmS z)+0Ns*Et+u+eCACFOnDG2?fD)fbmL_H8~%yNf|GanO(<~g3rT6B0jl#-JEE9;n+P9 zQ1!W2v>mIx`svL`oRNZ$?n94*lGlV6oJoAmCKpY$*UIhybb)`;-!#kyaUpuSA%V(_ ze<_!`@t!rr%Ms3)zzht~6&`~}Sm6f;3>aN6_UgHPAzu6x-PDN6UT6C50se8)$C(m@ zavM*ZK{uoN#utSbEhaS@o*Ss+$Id{p`Vg;&LDlB6%c#)bEa1(ann@QW_EIkGq z>`JAxH*AFSbqe?ATcr7M9sQ_ZTAEX)w5xBP{bV7V&pJ8B%BPS9}^|e=AAr(b*mr!R4#T)%)o}Fe9ki?uf#vwT0oO{vBYur|JMBu!apD!r zTt;;!(s7z<=HbPQ(VS4H*Hudc=S+mgZ$Cm=cZE_XF@ap$&4-3hcASCuNoGVHm?U6} zD|a^MO*S&sx2BmE`Z0u2LhieyzjU&^jGpGk8WT6{uehS#)QOGLzoAS zaeAx!!+K^2FXi~KZq4?@!=54mAZ5)*-2yQ<@@Er{omcWq=eAKy-uaGkue}y;qAPFr z8-7>cuj^cMG}0*)R{F|{YkSWAC#ubIXB000kn`i%>EpN~OE3_rScXFO=e4;0 z*}1GV_%<4m079HikXWYio0`9ShLD;dp}&79d?P?!l(Ucg9RW9O!C}UX zE!X=U-=Du?cyiKcj+ip44;{QjpPPIyH&n!HakIYK&&FOow0*x@AJZfnwzbk=#FH93 zFLuSqYe6Eh@WNZ#X9d4b?mh3Nt*9{EcD>`|F!HSTReE()thQLxz0cP()Ij3eLwDq4 ztPJkyb4OWq`tWNCJuv+0|2WjDP2Il9yVg^%+{Cn;bK^~)X$VMZGY_IH9p^9jFcm`! zt%u@_p$i=ZS8^uA8@#}X71*`GjtfLcTFe*-W-vu~-JQ@EsP;QP@8Sv_IgPzrvH0UB z{4d(v{~Mp^P;rPG41PoX=S`u}n-x-=Z#=G@PLdm$nZm7lKW-NpyuMdn_b9Tpi5zkU z>Xn2GP>=FYVoV5`DIu2-G8{ z;tnF(L!MlgVr@-vceR*K5gfarQ+|qikkaIbYbnCu9sET)sy)oLPfdFHlC48^UHOZxIh<2_rwfG zw0rj$MbmZYg71$Jufr#hA_!mfiz%1`a0Xf{$De^R&OlB6T{>d>b4ok>O1eL9Ur4yv zYKpZ+`Mk{stqdMG>|U#AbREfaJEZ|KF&TjD>;NYqrA*>3Syd+Sa;|9&1;w2QW~K%p zVv2!X0;^{FMjXYJf7yc#Dnw_>XK;Ojz{`aNF73(=QPMe;9e|BY6W9v1gyNhR53OB)*5?zlgQ?JI`reCCJCog9XXeK=+|Fwhp`#7F2yZQrx@{BM37 z+FZu^FY_fv$I^4XHzQ_Z!3%{H=5eApWe{~9^3ZY)I*0~S69^~{m^D5uB^YlqNRh`$ zdTSQgC#)6?O`cAU-qExxCv3VJ%sl;m-t@=q`pG;^T*seqsun1$RI{er1ICD|@EH^< z1sw5tjd6I_9xL!{wpW<*xeQ=m4cN`z%65}jx$k#umwV9mN$q5pM{k$8SLj75e<{ocVnFoj@=RUX*Qb7=J{LZBOuIGbNOm~-7k@9et!tUM)I9*O%vz?v$R1HPg57}be1hTI6Kx?)s9CB zcC;oSrd(SOtg#NwLeiPLSmOQz2yMVK;yoBYFppF2u?8*=_fc4J+}ocZsie;i@6A?oH^1%Q zY4f2B^GoNy&!sPjSbiSpiegh8rg_5=3yMNG(P~B#KVHSZ&6ug`b?OgpA~R9?(Kze< zIV6R-5O=t0kc8vuh4MgrEK`a!P?H|O{u;Y^e^f@|gGu#>aCx5v`ghoprIfJGAG0(- zaWx_17jq*@q7Y3LyJJK)${@PkWOr7eAN&#`R*P`oPl1cI#rH$b2f)RkW>{!aL4MaS z<+8*rGgO>e+a*b)&6~23j@MT_9CNLkN1@{%NTpn_mKiuLqeAu+=O5h49MX+u$#|5q zVfPtQu?(fKd?w1f!hfQgOp-{UL>me(>y;y z(31>e!WIXkJWHy5vz=46Y22b-Ev3ZRY?*)Ln)FDw4Os0vpw0xQj0*k+Ie|<2B%W-n z3xZQWlDfF(>WSl~*(y9IE0{SD__BRKxp415yLulU~L@R!@P zI@fAx8SNsebOy>HzIVtqX3_5$MB^Nk8DJ5B4H4vnZcXo8WB0-)#uH6lV0J(!!@E_5 zKkCbz*V~H@EaYd6UtJIgcCH|}n6ZEKNgf!JP)xjrC<)R{e4|LVikeUpP{>VBf7SsEjcczUmjego=lsX#oF_JI!Wu?>p9c=atB^$Z|%-M zPQPQ|Pbv+od#!kv!_@6p`o}R}WQgWvA1BuzO|`#Im7Zs{msR?P30r(nPvxr*+*@r+ zFau$P0wsldd%iZz1+gJ|*&%)n{FJ$F2sxum3mcU1DIPE}ntF=lhZ$vCqB8oUMDqxn zey;l0-L(Xq;u$QLN?+87|H)qycL|}ml6YoG?5mt)c==K1Uj|_B`|4Ck^5SVt zjOs<{UxZwzR4xA|lg{ua%|8e0fxWbESt$AYD9kE2K?~h}v_BvQ=|%9t1c)MzfS2pX zwy9c}uE>2nDy`vRTil}E^zMws%umB0w)P3*om&iEujL%t*Q^7s(#X1<#5Lm>Umi6i zv*QqbPB$r<`1PVIT{we6kFvpT3qPAAn> z4jdCTNMXMaUf>0DLKL|I*%v?L)bNZ1^~28pyBksYRINFpzSwMC1U4mhG-|w`pjvA* zf3Jn}w|O4~XRQoK?)M=UX4g==*|>@xREKycehbT)cr>@?IsK1@BYXAT9RjQAR-&M1 zrqIgPj^(Mig???lg~hYsJTNz03clr z%sS)Td@1^$KPBqziK>l zVd@N2Zh|$2YVd?0HBtr!cn#F3qAWgj5l_ijSzG<^UwlPF)-EyIYn(xF<5##~d zHOn+;YsY}{b1)mp0{n8nOAP}}su3HiX&_nog)MYMg)V-RY-!KD@m<_|Q>DLcgK1!( zd-Neym>cBUBgCS}4e~2$<{6npxscz*Qwk6Vx^*msDQH8S5!L?{gYq-gIq%ZeByn zOm1ZY|0U3(foFK-K8g%bMaBL71RvlbQJ<50Y!yLAGW;~Dan*Ai3(M3=Hz&*V{dk%y zcI8Un@|a!?U1rb0bxYZN5;wV>B23aIXP_{32u9M~GT?N34YA8uyR4OP#Oiv*GN9u3 zu|1EAB|90Pt+|&4b!}E2dmH!s?Xy!(q8N26e_#M2>5)?*UYzqtEP4>Kh$497z51$n zZnymE=KM`?dJEzHXZrFW;Hi*jN8wHgpSz=DF8_+yTAt6UtW>M~JtFvgL^y@%glN4D z21ESE=eOt)^@FzVC4a@C_YHLXIZzy_LVNzQ6d05r#iPEN(w7qw!aq94u zMM>`l5;xQpmp2HBL$;iOhQ}A<30mZL2p_P3w_gn!kaS8uyqnh>atm+dRe}C{_x|GI zSmm!FTb9P>Ik1L3_0oF!)(MUtFna1}mXq=|>d!WjUQ-utp(&L_LF1GxgT0xP=sf)#R{ ztB6H}unLp;l2c4mmb+@?*oMTlG1(a~Lsul;o^V9HH?9}INU-ntMbc~V1M}NpjdPyX zFTNHR!?nMw_;c!d^rM7Z^SqgRmeUKvNAABaKrvyKPsrUUKNK5P3L^-n9NEISGmrc9 zcLq(c!dVWviVxpRTv*V4gjasCHp?I2?9BeFCZ+)Ujrkh=>lL$n{#Ehp5W~RDduUwH z8E8Nck~|A-+1)4cG7^L`2q_q}O zi^X{s*Oq4o1@Sju&L>rhDele8Pan$5TW;Lky`+4yfy<__NE0u>9+K0$e26ksjTn>o zh$c?x8+g6@K+P(emn0r*bh#K&jdnr1IGZLDYu6o>12H_e#U*)$l?$@v=I$E?aAs0B z0Zu?xfa=yBSSVoZ8rCe+5p)!#hKwBzB^$GhUYsjk`nTy~)+d)`a%MJ#a{uz%rCAv} z6swX?7pN-%lLKi}-hD_^0kjC|09P<3gU7`W&3&07{-=ohOEPwHE1+~pVcB!bc7;G# zh(YB%-YaK#RqJql(oEENoWy%f_zKVv9|A1|lMJehLEyowNqvw+C@Z?B@pqAATe{rN zM(*B>T_V4k`(YMu^G%}ht?vW69+3^5h(}0(x}y3m=SB(UE%+A5G=x^V@J?Q4i{IrLzIxR4O!Sh<4aGY*J_&zrP24EUNTK}aZWOx0 z@g;;Zpn<3d<0n%$HLvkxCLq2*#h@+=PIamXWH%?l|+XR zBA)hHGD+N9aB5}&XHEq_NLmUHNf;pG)Yi^GJIBOO7(IUcB?f1lv&Na^>FlgvdERA- z)!E$n%>ot|sk*cmyELpIxFMgx^aH<(Y|+}KdVji8fEEh|E}87K)WLMf#rnb2zx4x~ z?X$v&`G1H;pubnJ?Eq?-JK=G7Sy95Y4%6YC@iv7wdhNA9?iXS|6lV*b3&#*pL}BWRp*}v zmPK;I&OnjW`)ZLZ>!@~uWQX?>iXgbF-x6Z^qFD=4?P)W=Y)rPQWP6Z>?ka43rFRhwgL`Wni*6&Ve!K)c`^#p3%I^ zS%iFRXnnD5AY1_vB+6xe(bg0iy>;PpFth?rcLs`ofobU^Bg=tj7>I~eJCv_&HwbIc z;#RKoqc{`;X+tmn{$y9gZ!s&t^)=j!@S>$LChJ-uX`^9`N+$;q4gh+*Ea5ypXeSew zO$9Fet;$>gl8}zq8bot1|K(5d;Us9RRD{QPx6iUlHY{@Zmqaj$TOA4_bHWuy!X~#O zYpDiuo}%tUO||JQqA;-xp`>H=ug9@ASi;87JG)8Ch!w@>~J_`6xy^u*sxGRcn4SzFF6=i!Fce;$)4|W5h8%SO)F)*C7IbXx}))p z(~<=%6Rhp2hwCI;)1^`9XUcy}-86ip9r+))NCUT(*OgFyMQQaZSz;2Ca5cRd4_8Mj zW?EA|29_7?ZS4fe@e?~1c3!QkImd0s#$up|-av>a*@m!2&g$0MhBK4PVUf7(RnESB zUch*GNL;R|RXy@GskV94A@gG!5es4 z8R4`zglL_mvcSre0l3%(T{AzQ&o>v3y0eje#Lk6CYF#WNMzb^o3k zwc3ZZC{@ernl>|fZ=~N_>Qj+IUiU-eY^g4bijXof(E0r#BsDUPgSJ6lLVVDPX3<{W z`k)DFj_7(zE_P*oab-j*S?@`%xdN?vD3i?-RrwLZ9uhnyX8{$}`Fa4?%Dykn~TCyFNs5_*fL@U{KP1EnLvhqL_&_4ml8^uT(uZ zH`n{n|8V7U*$0FQP@O7ro#`fRjHQ1uZzQ z5(@DOjxG3Vk{O^C%-ekdSMh@T$I8m4c3r)eKwD;A$&&}ovEsHl=jc7jH_5ToP(qoF zGK<35Qq!9DI5tS@O%x5~Jc%1$w&n}vgZW*qtNGiJ{UurKmU+NFd(zw7Lr!@KmRNHs z_vdug7U#S+ItIo8$-I~P8aM$$F3^E1AuN&8P(EiMQIc5V-Z54-wu@m_%{PkuON|-f z-K^)Ht$?{G&q#pOGlPiI=N6yTB&`8gs!9X!$0-zUk_Zrw&mf@4)IG`_`2x(lYTl3l z;e=n%Sc=+DTXj$SpxB26H-44N;k~J}cmFCr=w$uC28BoLo`I-?-~mhGWy+KR6LtAK zA@P&To^Ylhz+LK3l=&kvuwqLg3xK3yr=wI1#O!+-A!n#KVnT3sbXiirnVZkDDWZYG z;z1o{ko=*P{0goOpGCAZ>;~0S^S`YA|CIP+c>1*PCaV@vBCH=TU+gZhD^f4emg#VQ z-SSX$XLRGr6aVHr{(<2Ts)hLP&-)Fao4j@v@X7=)d{COc99{&9>uDz5Zz=IPoOfK( z7;JW7nql6^HC?8wu4PNhG8FtVed2Kgkr3lb45dtjf!o4&(})&SAI_fff||Hwix?eD zvYXgBGF?kQm>g6LP?9j4)bw!VoEQ2Q7q$<28xNJ`hvm9|i+{^8-4*nRweeN6amdbl z_ssOR(63%J9YZGmGv3+@AFYB9;S%-6;g{i_TlMUEG-p$kh@<>tvv05Zh5{LhqqaxP zj0Df;gXt`z@#!Yt38@f=-k}&8gHlePGJsOHP48gmI5* z<+)wjdcEwWB1;?B>AXHj%0gR0dQ}+-47Any*sDkn)=f7g)|bac6tZQRNXXe;XS(=o zooliSyZStM>Eq+3NdNEAAl}3A!-j7C(6tOPz86EjsoI#+e$jv7Kpx7ehXG5S&a!Nb&(==ZUE z+21p}N=M(lx6e}(lD2y!gl|<5rAdAAk5uy)DGXx<%vaAqjPS{Vt%Pm}P&2qN-p?fN z8hWQ2XEs%Q5?I!REI z(9z`?*`qoxd$fObz;!oXjr7NMjtF9q`0bO~pG6#iT)8@;)dM|*67xke1;B+0wcF;^ z#{~WIi=6Y{Kgf)$pU9AxsvhzC;C|YEZ-bl<#OR>e6NmPwTD#2_<=8e*$#C$)9BtzBR^e24L3Gux(eo~M<;netr;@EaZL%U_;> z9CD z!ecR*XetHk0#Hfa^b!3-C^jnhHq|Rv8Bs9=zkpwSte5=mMnxT`vlb|pHa1M2=19#WJ=SaqYBR0Ttb$Ec2 zZN&t!y6k0^Oka58qiF$e^ph+d3D+i5e1f|N%fO@V50-OhAXh}jLPjqW$$d6VS2^wp zS|}pJrD;<9aO7~|sb7rln1SC*q2hb7qPgU?M(vwH1^|%=vBdcq0?}*EVm8B0d2uAO z(C~7nie!E5)5{GNf6p6xcwbg(nleAtI`UEsBw#o3m+RJ$FQSe}1?8XXeGQyl{~p)# zIbl81?waSM32fL3+ER<{>m869!z&|0A!-?Am(^Z53;pFpz-*i^nqM4>p8d4uc9{5b zh|j_O2y$t6-;9S%O-?NOmtHAX*8@=ga_aHXars`)l4I~(l=YQ$_etG7Ox5koDanIs z`%m2&C5tBEwSN~hle}GwWxsq%UXcl5W)Z($^D)7#Lr2eSm``^P@tQ$t_NoQK5rqrT z+KCAYqap%CK!ezCr@%6gJRksL^VCnYhiTb!X5Z%V!^1ndVSkLy|ft_BP$EDus-TJwC3%TAV7rJ6@wWNH1wMzv42H zZMU@i@#5R2w^?97!1ZDE8O{B#i;9({=_Hl)F!jbIqmcUwe(wxSL^DMv+JA=rn3fe^ zZd4%ZQX`Zogcl+f!Gm&xyr8DcGI7e7{Q*nfjF(YqS$}o+6a9}wGS4|Jo&+;{rM;2Z z)3&-Mr2n*`a}O?$=w;J4!~Y->>}FfhbKKzc+=ZnT0R#S-F209uj~6Nz8a&vt7fLPF zg3_+pkLFr+O4;3MFlX61*&F4lee)zo8nf%61Aay;j><8{Xg?U>FuZV}MhS&ZcaZp# zC`_F=Fh;fqB}AD+H=&-p0frwUEbOiDTe1H(!zV?|ROKzIeR2;}G*uV&4m~?kjzf($ zGr{kgk`9t;{?hi_75r#G1UiXnvZl=ce2~>xVv30(_bHf;sjJshC8Vk{Equ6 z>;&?wRq_XF*`YBogOEQc1VlKzL4 z+YJJAIWd&@vWOId9I)o$=2gDrvKUd=6I$1n8Z5O3AFCR0EdlK@dxfT^x`pfX$QraW z-`YBe9n6V}!nn1mSc=6m7VqCAzVxIO62+W>q9URQ=ZD0p#o#B|_uHFGc(+mERa0Sp#=jei!cl zbm5P#&Z7+#iF94kat&foMAA#zfv4i@+fd|+jKxD&P9WR+Hmw-N6COmUsfKWCK$+9) zZNi3V&YgNOPS%#z2uhu^-1^67R&Y%d<5e^_c9m1URSwW(x`oEMw27LatJME zz!jm4mD0?H=})(Xw^BqJFL@5u&RVH) zf#=6i$gNy$CW+n^2Mj$Z>kde!&1+KM$b*eqfnhoH$>OaWtYz6-fPn8|6pEBexC>g# zy%5&$b}Zx;U(Ml6;hmYb9r)K?QuLdR`RM+Qf4q4R_0qMm>Usa&fa#15xB|=y@LPqZ zKzS7(;WYL$x|vBnI0$$aFP|)Q#~z}UPsNAA z&7T0CGMFy#5F5lyx`YF#Ji=EsO}D*hcv=^2?Zql}9L{o^OUF1%DqVFV4YP4Q0j%zG zdcQ8%qtaIwlAwP#rex6+9oRk9D)Ko+&k^#n{_@3_AJR}=uFt@O=|>BOQrAo_6v)Vr z*s}?8^`Fz-(s!$m*miiR9m08 z@r??94hygLJ~Ssp1l6{RY^RvNY+| z>3V+MnycQ;FI_%fR_52^1PfJTS=A9S*Q6?G)&F`p2!yTFjBf<>toH>sa9y`s4=QwD zeHwOBU;jyqVp1QGv8LWF2{_{q`|>Da1wqMZo~LdIESlFGHs(us`S{S;+40Ysgv7H+ z*^nE$7jzUux)W;QQ;CPzqyb2>lYJu0uo$`xVdhzVmt|N}8|q_kCTLhCHGz4>#8&+# zGy00u+D3#wm5#fvSN@4RF zlV1UKZ6aS}zbEr|CoVWDaXV^827mch{89>`s@jyxK^&U)t#WseQ;tMHC+$?ruwbt1 zx5jFGaJ#5HyZL_>9lJtUvR*H`g_7yHuvf7*4vLt)i_ht9tNj}SyFO)f%kuwe}4=Ws5TI(!ZfTL_bw%`7Y@82Q`(9o!Ynu+rs*( z2{iZYLe9nA*dgg)zZlP~99!bF2As(Tw)TmeV79-i{kp1q+)_0(#;LImrp(qljJ)R3 zy>fC__57C6Znq&~!E9CE-rD3$cZ;-njCTJy_yZrFeg&Vqf1wrF#T89WusjJOODv zt+9K5=rZ}FSwV; z6}Zw9#~a6fGvqeyysQ@$t)H|wbs+|-l%ve^^?WAg^>E{VR1M$#g+1aOK){%)zuD1{Lv{on~Z_F*}b1@W7;h*RJ7$D));;Y`5e#-wGa35D^r@c z${I2nF(nWG*|stucOEhTqUWqH45Z&pgt}=gn>#@{u;1&6U`k_tENw#F>l*E_m zlrs3e*%cVwfs2ms-w$>}3=iwxRG|$d0|mu={Ly!-=!PPoZQOD~sDt z$?m_5y>yg25jbm+d;M{BN1ed@T-NniaCVQ>G_&MM;pQc?Ax;-6X=Tt>ru(y~F}&&P z%h7GC4FWD06>5KTLf;V^gXk~f!d*@1u3B3TwQZs-m~mG&(~qGsF2xLw-((I0&WzjWcH|nJT!dM&VD2#dI}= zzjUJ39@j4^4BQfZ{;};>KoTRp!-Hqk(gdBF!WbtV<+`V2ekePTJBi)0oNn#ZiBYzS z^NQR1_3FxPn|^iYB=#1`I0do<3R3`SoJ~PtkWdC#0M6VA*bcyV^b2&$<_#3crS#X8 zzN6o}Xc*3NvA|HmLf>;+pG$Fy=M_fzfEp9hACU7Nkl#Uqpj;7$*IUfN=U}c=(BUXw zxr>t1#ol#K-$)8BypWDD*bWRCi_v>5XPxu>UB(I;(ERnDoY*ZHsK-cBa=m?uCpbHi zB*|4M%o{`pde@Q|1D`bz2i%CdFdN))eAitnrE^{ymEPv?Vd@{d>W_8#^3qxE@8YJf zcqCrjT`sr+a{ab;oJ3*oO4cAJ8eBLr4ojoR`?_r{aKJ}>nFU9-i%@IOGIVqJZVLvo zkUb1;rNU@G?|@cLs=}CIk(Ckl&2;5C=n3OE-HEHhMn!B{@_tFc-|L`%ZcbW#MMZRh)Ks0ZQ5JjG#80R+I*k8`Z(%e z1GRDzxJ;hitth9=W&r|(av)7Pjl$oPr@PSD z2AzS8r*LSKb1zcaQ|AckFEb|H+M5At8S>3Tjrp!JhdP)=nPE!4%a-D?Zb+}T%VYJg zohPvklTbiMWDS`H=Zip4n2&sLvrbSoS(3qG6_i52pVg>sbqJhVD$`S)EL#4Fvu!jK@=ye`oMs@<`nL@mFD}B~yy= z(Kl}Fx2G4bq^(19Vuw@$e9frbJ2jjkL`_fwp&~HO0?DwA5>hJ0nPg~;y5SYDYTuGQ zn|>*iis|EXhTjm{_dVR-^JIOb!$Tod*5n(KGmn}ZkAwX-(DANY+3!X0cIiF&ocG+q zsBEJ2;%AU@zgIVCO$V%n==}k1w=1SPAk0=jdS0YQtCq@#swfXYdF$%Nv!%FC$9OV6G8pSg@9_3O)r9XJS9aE7=oBBqT8@zK(eY4< zZOHUnW?E9_k)TBLS5TrXW7*SZ?xvaTG{d9mzCSPgvpKP4tI6W&))_vkh?K%$?ZzPr z!PmfN)07AjbR$D;10=#6cK8{|FTcF7AyW{IKte zNPbBhU;@d;XJg{kBB><-%)?1T8~$#(sk9B^AG%kl^n(dDDCHj#kVnOL-N4fh>Y@`{ z8<_oAOMPaKdv{w2!mpcXxgR{Bzj?o6gEqaC64Dyn@z(uXPrc@AI@MFr)6;u*o#!N+ zk{VlVUu@EB(5@3Jz{;YC)9tOpK(Ir>$_&x@5aNUx=Pt5+7NNm#@_%r27Jf~?UmK?p zX{2i)2vQ;q5))8T8l*u)T3TS_2`((_W}4w1Q0f~!83N!t6!XDimZ1AO+5arJCCzqYFI0* z4JPWbi+x+ZJDlyNy-Tf5H`jx~{Z{i>3(nc-{6E zI-w!Y2K-ecW3bi-ubDOu@EN`lE zHuhadDPCZ}0DZ>%t2}psbx_9y7-Xo*_XCWhXvdiX;@(b5OlbOs&|^jzHfjeLkG*)M zlU+Wj`h6A955|{~@UsuO!-Sa?IKaGim{MyB;hX&dOtWt+dsd~xvff17L+r@8Rr)8{ zC|XA$8??JSEx~rrH&T*6bQ@T69e2#Go-Qpi!a0tM#H=q5 zJ8bGSj?s@|HeTR9)OPQA- zl%e~@dl!EkK6VijUolhAfd;#W~V+hJ1)n zayG6}?$%zGD}m{!#acMw&aX}4PBxg0G87d=6d(*UOU-3Ar-)vD**Yy8X64Yz_f>0f z5r@p0qyr;*AI#WUUR*=KvDOoHv3mV zeRn2%25VL|bUNXV{zV}0_GWlD4Lp9p3?oia;P}xj!;UFa-c2_rnlafaBO8Mr$jTr|%3?&G1xKn}Mg*=&_6e09q)pPb36AU|(wp7Rx$h}6GN8+%R=!remtOgtXj^Zi=u z*i{xWHAK0&&e-?amt_ay%yFjHn7@hDlvo%KitH@gZZ5bkZ)mb=tj{S6PKz#&4}51~ zd89;GjouZ?bL}f!$`z(YQ{zq}7mG1cdN?f`qOgxO!Pe+1%KEehdknX`r_4{eIi&aA zN7CrGu|?6jE7R0jDHhw`!wJmh#jWZbpG=L=o2PZ3N0>H5HO^n|02H77=QZ{udjtXU zfPATx{c`kBqyQOTGz?Q^PA>TS*|kR`$Vl1yo=BI~C;-LG7StKCWQx#+C;Dmn5>T^eq_cI*yGj-!8!AMgpBegPf5Cln0sIJM z7-X;p8@12_KoNrYO&6R+)JXf=`6gqiSMXBU!_P!>O%{>`|EB&S6+2{^nkBzV!M+M0 z#RoCZDA_ba`GcxBV+TffeW?B@zrarTd&;aEGNs7I__bYL2tyU$+3j7PMv?Dykxkiy zl+hZ}8JPm!syA=m?AKV4+=2{}#^*HyM$DsuST{&d%w0A7L48k(Wi#7kr{ELotE!hC zzE&B|@?q@JpEXGyQNPiJQAb|G{ZF7B+M0JC?dP@KWz%nj*6L`cdMNzFc`*t_nSR$ZVUEx#)Q9|0_B)VyqrEK z{}(iBCqn<}8))&42Ysqt0n_G{DMuvbOlL*_4*9acoD{-#TrZ$Dg2o7wFi zELmAlsA4B!t*s6cmP@rEtmke>2~Vz(4WFSkp|>4fe*~oO(wyC2)`)A)u#w?u(Dfch z%>+4qw+LGqp4pgMc*_>|`m4ciEXMHsM+{sh(V%z@6_qsC8X+Vj@H;rLr@;$BwR=Yo zy+p8}NkUS_cT;%|yLq7jA`V&pk8l1!lV3oHV%Vv#6|M}|SGNJqzS6i&G^rrV z>aE$|x$H-gcD1IS-1jjP^mHUfPf9)VRo0J=WOv5XSxX^z0veyNd|xU{%)G? z#^#u!s;=QQXWq|$eJYhkKYZD8`Ave$JXz#$L<213hf8SeRLAc+uZC>X44{4Xgyr!# z2!WUld1paY9QTvQ5Jq!E+NQmaX-cCp=}0aB zeu-8QGLXr_o3!w({>-onZ)lqzQ%#?HX>VnVi!5IZ>{AVgJXY)Xdx$-{BOhaDNYr=L z!}u1r(cgA@Nd(f+Y0Z7ogocMSsUBJ!n3&p8&#H1@V7qr(#bID4x!_9Zw7D&>m+>#Wha70DjYW-6tMe299z z@H!b&OaFPG3x-xN24-(DVs%WS@2-kGgPUUlJ3DWox=>v|VifRcp60tH(H=>*UXj2S zMSYTgID8uazXg=1pOy3V)L*%SzD4GqPNOLW&H)tjNA^ID5jvCrWTpvW+ov{ggVir5 zi(>g^mJ-YO`-q;%Uwyd^Qn9CR;$;>#>09i|=%RGus(tyKjJ(k`5w2q>b}?XsKZ^}5 z`Vv@%6HLG<&GYT-TOxy%tUhLU$-`{M&mx(t-#5qTLy)jca_^`s6b!`FrQ7m2*2u^- zI@OZ7w#3Qax(*aLK2?#`8lZRvkv`5Eol=Q`CAh~IkqYq2CJGGWDqW7(7O9l3_0eEU zNYRIV)a@1Cl;hDs5uBHCz zhnCVO`@{^oHVmSJF36obGD}7(U}1w><5>WjoFtF}!?LuMJ0mZCNK!mHEE`Er#iL8n^B_QW!Mc4JO(XCA_1ukW7HZf8!Osa zl&t>QOQf{0j1$pR7C-7tHWx{UQN7cXj?zy&)*k0pVV=!Ld_6)dSMPItw+{yaORN1o zP2h8(tsH)Rc)@P|c7`A8mZ^+4Kd<9?W32q=PJ^cCu&cwD(jpc@MU8SoE}r{{df;~y zE4shNL*EMNGOTb{-+ViBB7_Z8L#wGFNe`BryxS$)B#ArxY0=$YN5j!G@)#5soh!Py z*wav)w+)Hk;Oaznh)h18)$Uk4&r*OZyL#7e9R*;=eST|hxTDM#=4h?C@+(<^LYYrJ zi|99lONH}@*~<{LaCvSFeY*2#gZr1lA=~;PH-;z{(4lUo;16Flmvo(hcIlb+^y*@( zWX*Zvo(T)lRKa#kVO~EeYuC@9TqViZ6%EaC1Pb9&sWp}lCh#Zp&j1O$CLiu=0J}ev zhHdr%TAT--=-A^C)WSq6%PaTU$m@^q&qHX*D~xODB;4ND^z(UrTtGX&i1quK zIi@=mw>4;v;qStttBzafoytmVLZ8%PXB1sYT>BC!#c(J{9FS500;uW#ITY~$#AxYi z8fIN!S?=&c4KKFnI8)@VsoXw{>T^cb%_(hhE9ehNm@?M)c%S{CFFb=Ne#CQRByg*s z8>?*=#RcN;1JmD3%6zVm);Dmmq-S8HB;Q7>B7ey_z9Fmt!McOi# z?oq`?mw$6h9;#It_}PiO=bxE(P9epod>3zkPwwEpo*qCqu51ND4*5$YNB^!6u1uEX z-N;o{5I+2lk>!ww$RI>NQuga7EQS<=#e4lX!#%mSubSJ97h2ZTs%8yM2am@1V*h4K zcMX2W9uTC!{`j(@Z2K4e<9AWP9IHvSwd)cEEl=B+(KZR~y13mY{e-ez%K$&U&NbtD zWi*z)9k-wp!|Z)~q;aT+1JYd9H6f8qoc`MWX_=mdC1qG>cJWw+hR(ku?ZMwpndhp8 z+vgflRw@{vr-zLI(_@$wGL32gQa;W)t(A_{7=0e^Xj>`jc-=dtQ6!*w@hQ(DZVvOU z3B@x0^dS{}Y*G6zle^-CORrx^vACOcCosk)Cp*Ai}ePH+Co5_Zslo*Ny;*EJiK z|1fULJ=x3ivTU*AxS4t%ES-RC^71{hXrNgz(BQSimixnOe*=;0gC;wMK;MXY)rWnB zMY`weq;>g25s8+V4XM31j3^O-PuY1qGP{}ONeTM#c{N6@sr+8uO4~1pw({Qc10(M# zU%3GPTSS4RobWGEM=$%bm@^W=DemK3@A$*nzP~vtWLvwuL8v*ymxrBF0=4e&Mgr=K z+;t>O2?u=j3~5XJJ5G>;+^Ar2^4ZmVs? zBu?o3RI7oDcf*(*9iTTP^^HB7yM=90gpeq2!y@90)F;}`&C028!}EM35MOroW&Xo^ zP8aqcLz1pz>=tf7dZs=b_J$Wru2J%z<%4trC)iAv_(DSOSv=5x)u~rb5oqG8EnLpF zI;FvL!Tol%_uJMTa3)A(KE{8jesIrCNQ02}VdUQR+I`Ab*PD~gX*>ScA)~exlu!WY z$KCI#Pqk5jG6%TeF0D32+^K1CfNyE(97VpofVsUkB^SG+x%xpko>05npNsvWWeZk{%HG2f2~wA;d@(cTD%=KAF8Tcm#t-Y2Z-{ zS&yPM_w!zqp+$|6!Yp^K<4X}53n`r+^9|wAe`gynagTLm-FFx&vaFURTH1cf{&`U4 zQQ(}PM>I5Mq6qwWwtXSYpq9Q3j9FeC-7Xk)OEJiv!JCbOJs#{!oKC^DT>!9K>%G;S zN4BB*%!pwlXAe(SsDEI*Zk~W?07Z`-m<(jI?Hv3afm;dxyRgFa2_$x&nPeh+IQV;g zP0^c@$JIU95Zn-w>M{Wq-}%HuFJ2U*-8kdprCPJ&Kc#Ba=w;J+;WWP&V(#YdwZ z1TDP*9I(X*%Me8^!{t0SDK>8Mfz} z^LKr1+28{^>vCXv(1B8O(&PR~fk=IO(1D_zQ);H)hwFXA-xm)3G7@N45wnaW3X)fU zkS3fAe>r0t!0)Fx(CGAIQt*tJMm2#w@@3YfnQ*I=l(uZ4>4)9#olj}!G%yEaxZM_# zd!*0V#vj%(oVh1M2&KvyUNDaJIcE242aU6WcpdXQ=MME8H`J1M*@Mi*)CKoqXojTC zOEj)UYiJ0RC+&!3Y}lY^Vn!bx{-o_^4KIta@cT2Y) zt-07lhc0wqMYLtwo?EpiB*~zWjVmFEEx&@K_m!~pWp%SD20oh6)4neS&#otZA-C@g zifyxnzq?6b0}p4qv5|G)t@OOQyz{9=`pY3mI2s(JHNtduh7tCwx0^>;V7q?E_0XY0 za8DVZ-Mdb{5GCZ%-w46Rxjvp0C!eKbPM@?0nRL)h6A}H)!yBqQ_Yc7lunL6AZLZ?q zX*4Y&JfP=0$f^Jw!@@M}lWvCD6;kq}=3UN!g8VY~cP~rV-M>QGvZ4*CnXW_b7N-LIy&QWQ%B#Lu#ZiJSkIUkQs1{@h}bpAp;;#;_GX2%z$N1#j5O zBS(WE@qap9?c3i}(5gni+^QFNZ6xv1rP4B&!?y7G5M6VvxrjjQs7V7!8~)9te^QeD zsWm7mHYdaaAQ;AS&&1sz6zbo*Ckix5Rp9ju{48|3lHWHNNMcN+aU-nbHJ$YS@VPEI zWDfIEUa3QsOlVOWtn%|yP2H~)rnid1mHJNaY}lgOJhAx2T=iJahuu$)l^A2Y{xIh@ z=DQTY)g^ZGe_M#|v9h?|7P(*^jfhKGhY<$93kFYd?WgDxxha(rMVJt`F}GC#`f-1L zd@#TQFz1v_gvNOhc}^-M-QqZ&#sG>Wa+lxM^9Wg1y>3hZpaVL+r0jB9z90&ha=Ree zC7Fi?4Yo3j+|OI%v~H36KV*bxjb~&f>adS9^fM3Za`5F@Y9C%5GRfEaq?hU|#_7^LSmYO=BN)V-7porn>MM!7Z`6^I5IF%uhTFGf@tqV1 zPaAX{#ANrkZVU0W+3ZUnrMS$3L(xIvB96ed6Z$By=SWm{VfMg=qpLN1oPCXET^E%Y zI5{yaIZw4NaqIVWmOMEZiuvWazcVi zad>z^IL^C^T{yKdIM2sp7-O`tNZwn?6f({^rap%we%1r_RuzvWR#v zHiliZvlhaZm%Zuyt4sevXtth5@%K@*4Bb zK2nEoO-h^x2nRs!oPznWLBS^l*rx^@uKh}dl&$94zHM+0P5_gg>b8K6Uaj0`)n6vcQ1e)fZ(aUdTK z*sd8Q=6;9|4Pe3L^E?6a>6&5vr8pGKLb)9e|(Pw+fk!5|1~ z)jKwrSFbMoBTQ%uFobqjQ&%?Hoy|rxo9hgVjolqd zGri=_lGL#39vkjV;aV`ZZ3sG{3!TO{0ch&3dGb1a7ak&8UIs z&$?|kHgde$kTfO;6{tsUTB-egT6?DPs@y%r07;(6{3a<6XUJPa3MHBHYj^Wy?s*n2A*Em)I zqS|F_i`}t0;8?G3SiQ0F?k=x6Es!t77__Rj*o_0vWUz4cEk@HfvTgr1X4$L%fhu0b z4q(wzH|KubVvGqgmqvhKv#5m)0GdDC41M8XG#p!QY6#f@MDZ1y7$2KqhHco^SaeKR za~OadJ+@zd_`Wii{(X4USL@1^oIl=}MR?F22|H=)w7{>FSB4yOy#zHrODz}?UZGIx# zkkx`dz>B6Tk_*q-o6T4=d}!fHPZ&azG6--t6kF`{qstL<_d(-+!leJ zlm=IW`=n)L%#I!b1D7im+ZqTC{VUokKVX%aOnAkF0*F4~Pl5>vCfjR42|C8P0sIKp zgZ=i4CXEBXi#I>=byDxr47%vAfb!#4%0x0@f&0o>a=-6xALsec2|2H1J6p831o0$Q z%Z~J)2G+j$&K0>BV@p?rdC+@y7ym1oWax?y1v}-zIr-0E=&?ICv^b>%q1Uv~$qM6C zXvy3hFcX``k=OfYnZ|IwZ1p+nVj`j1(S|5!-qf=`7#QNbDt{*r&v+t3_`(!>0a0S~ zW*w!5#>V!U)*U6rW4Q4VHywc+AJ5yBroN7U6n4gz?9^81ZQx;Rc{IU_8c!Z!MC-zi z;J&{BgfP+Mr%xydfWm`NwP7pAx0in#`3}l|p@XKW*i(INoJ9ZrGaC`Ckxb$;#$c`a z2NDu1J&}@HpO25)`Cd*I-W1gU%u401V&x^BoL$nBBN?)KQ}M7jzZ_r^C}zoSSy%o^ z-GzkbrU?e17-Uk1w&F{>YK*5a=;BVkKbq)Z$VGyS*dMd<$cIJcP~w#)12N%mmMX%-Xp=%0@yfv zEgf);o#5jdU$gyrem=0a!?RkPdHpDE^_(@wNJP^!Cbx;~{d@Mh(_Z280~QLQ&>`zB z{Qv%;JP_ZuLpRYv%A5C?mj_i}_F`Yi4^Lw$z2`)hFT*_Vp4_ois*(bgw+<{Gp$@I>OKTa$7(H@(Us{It=Dc6*139QOAGpt6QYdqXFGfK8W^4p}-Fs|5jNQkV@Y{*)syLfOHsOvhUOY*!VoIE!;~tDQRk*{_$}|F41WZqdY^Pe^#Kv1Mo|bRQ ztX8yAn4U2O_D#NjAz6=K!Wlmm+-M0^UcuoB*fx z3+QkrL`Ff3mzLsr_91g#C{N&syCltt&`i4AKGfil#Z6MHON)|+%=e28FcdF#DI+8L z^)6H#vXCF~vt`s~JxM6rG86yr>iU?>ZrSh9H?q$R+#yt1Vfl1e%^w0;{Gc*sK$+X3 z?J9Rus7*xLu*~yCgw_^6T~e5uYVca#-hzE6X zpiiKeB}+(*dvB!;&LVHhB?vw8%Ec#fe_@n`kAHF-$M-#I@dp^v1 z=ulwb_4d;mRO5NGA7G3yQ$;$=>f190)tyw&~LVpccW@0c5DZ-K<@Xc%XDchdUYSxkKBpv-?p@+FYms z+pCloXV#xu-gPZWG?R(IPPBeBu-nD4YXWVFD~L8qRtJUU?9e8OhjrMF^|iKq;_JFm zk4vhw97{Cf`m@3g`uZORuYZE6th<9Zj+dw4Fm%!h!Tzs3<%hGiw(gTa&E+BLS>DsP z&49(cI+^%l(589TAedf;Zj(PqO2fltzhv%<5{086%9_O~$$>Lasn=2H<7+CIpJ~dh zuBpAN{%QUt^v;6cscg#Zax0(}OFkFx-P7W*dCUEo-FE!y$ek%{q*vNZO-vb9e6#3B zUbQC2j{bzfgPteMeAmXsd?KPCxnBXE++PoToU) zk}%|I$Myx^c27}lci)9-k^4mB-N3=iPt}61=JPZNMznN^gG^I^;Q*nUz)&B?nIrnn z)OL*_RR>TIwNcz$@QA4gtp(+1-Lgr~WYca#+OuFA;P|Ie8VTN3NhK$efRv|V_+qw4@CaC!~bDQYPzD$%PAHDPEx?l`0a(gzc=prQBtb)Nvu0+7kP5C(<;FNuZU>#_oEYiTUb9Hj#B! zVr)^QG)#$PaQhc57~>$Px0V(wT{28ugyE7m;Qhu^SwuPQe&pe7-rsChPZn@*shbih zI#0_(%H+ui@%B|{_LpqfM8Tte<3J1KcOYDs|SF!;3io&K3dUk?rd9a4+|bTV~X=~rz-NI!jm z>ksL)6Zt2hYY^gB>jN$C6IVLVCP2^V|8&37E+f2^v{1|>9YyFRP+?2Td90y>5v1)! zzlx>+1sLAnKI$$$v*fpi)l1ooOPpS1gY&Mq$T1k&XwfRXj#**Re0H2DPtGPkcFpgS zv{yTFna>co@mq*1uja75MCB`>op^%nS{)XZ5<2lOUMg6S!+VuTAgk74Wgq z0ofB^9w&i|BRqS-4?ihnIcqO(?~0RZ*PLFnBo&fj?0MoIHDoATpI~32!mU>_Ic0Y)3#4Npp0v~qH{)0`rC*=bjv=aME zHqp&}Mf3*~L(lreK++bUSV9sn;-!N~l9`DQS^lKgcO`$uTBK>1o-(!9{!Ii*#T5Qt z(V%1dU84SerIWZvK={!5gPR5|gz2PI#`++I${YwnFQa%YpVKxaU+ai}}$Iqn~X_#FSAMrh9vm~-(&Qp6g>`sepD(=3s?ONggtNAxMaM}No45`pQ1R<5(`ca`W7 zrV%*CPkQ^3&X)hswtXtgq0+G1J^hV^^6ZJ|DE^ku=bx@ZFMW7lXG8`bzVE_^8CEP&;&DNsR_S4>pwiTKyFcYi%{lUd6h}}m-KzE44 z<5_e46WQ_q`xs~f(1KAD%@8m!fHh5fp;N+A$|&!r$aqkOl>GL1)ufdN8;Q(xv1GJz zxQ7WP6~|oFP3ZGm41TwLS(o4*;PQ%_hatBUN>7#_fv-2=bNKH){i+a*m*rjCPOX--~fk|1yn^ju>?m%01bq8aFG=Z}(a z_-GHkJHm|CWHW{UI2|r=B-J<5Qw)H=@){tliOqw41i-mR`gs^48@V>o}E7Zo9U@Va|G$H?$6LM00t+n88;*F z8g~b7H^0$u(1j=_7dJuqZtd9Gf0;VbUVcQ zi6uGMJwaOf#3Pdr)SKqlSWH*3UppB6~k}cGk@lD8>BjGK<`U%S#=XAUHgDjDOm^dt%8T>DkYG7 zFoachHHOG_BR1v__2{$SEhl`&-Mqz9)v)zW%;eKq%Jn5P-C&=?m}!MbycrDcA)4HE zJGvm9l<(xNFXl3%l$p{4m5*~lg(S4~!oj@QA;G>scIUPNgiR#N#Y0|kCH0dS${;otLz5bWP0XmHe2CuMlIC@9SB!}kGcb&1j??$~8B z64%_D9p$GXmEA>*xmPV@ZXNnk_adS++&(WI^LxKmbV!`eq)(8&-er7&`uH9oAvq=a z&8v8rA(f48&ka2K+pqbtdIX%V#3@m~TYdf6sc*>zgj8fb=m+LoO3SOPTmi zKeM{u_ZbRJ9|TrnTE@VJ6wJk+LS%jgE$hRdaV~`5DKkiLvOd3s_{}fLjY(-L*Bj*Y zzpEYP)?nL=oByQT+yDEaeYb{+(&?=K13QVEKwsgmKVA#)A38skc(()H|3g24mkJE# zFFqdhSZtL_@s*ArJcOxM3F_A;q)ICbV4G|E`O!~>7Qg{J)p~Y6q5yiX?OPoJ$|NE2T|r82Pn$(a^Ty3ie&v%y)Qvw2@g6B zS%j7J%P~G^O{Dkf(|y+I!(slt7c3d9SQTLG=YVVw0WXnm-S_tV1inZexXVWt*EAaD zuBZ`C%m}Cat17&5yd~DYv(EYT>xr+Q(aJ8Du1Slw4#)dakkC0SQJ) z!@tQ8BEF&irkTuaH7)cs-Nciv$myCY+Wq)9!0YwaySAfG*rA*2L!xjN2f6D)Updvf zjK^eGP1$XF0Ntd3;im?@u)O)?{a@!7C8v+~Gly4v=mr9W3}#1$fbEcSkiK>t^hjuS z*N$@m#WeoxC6k1=?<7%@Xu)y^P1sun$5%1j=S`k~@+Z;^Z)sXu>{O3j>o<`llXv0Y*!)QAVVRV2uQ>v$NOlZQl8}W$p?65o(Sh3PZ3EV&WNOB zh4D61->qO`aCutRtHwXJExdo-XeplcZ3Vw$+|7oWkJ2D$UZ4oP&@I)t4$S#{{z(F4 z34DIbkUAIe8t$Oh_`Lf?M?z}ypeL-1cJ{#YKa5YYx(_duoNDX3#`Az7pfFJbm3Xtf zJ?Ft?%0KHqzS59`*!0+mw&mG4C7D0@rm8q$JfU%V?t~=y zcgc-0aimgFL31_o!vZnPNS>R|vjL|v>LBj{Wge=x0bfgGdW>9Fo-%$3Anp1XFoK^! z*lqCA>|>W0{56^!i1iY4B8=}dp35D^y^G_L!@1p@tzp;A!rP*9ktEk}jG}Vy>cV#( zF33nPTy3Dos-8I;BwxzqiqX?EPkQIEm+4;jN}es7DA%6L(?=kn_tEk}J9d?SnXG$Z zfQ+DaAbO6tul3mO`A5X_k+~#Oh%H+`@hN4KPIJy zsq~W+Bqq5Ybbqmsgm8)%zHz7^a&C9OrU2cQJ9$yKZRSy-gH{DXZ}YFiWSNHmDWVr- zud9ta5=YE{&$FxNPoAAa>`hAx$>S8i82sla9e>gF3*6C-T2 z66f!E-5z-17vja2}cWb19GDg(u|L5MQ9RTn&s0G}B_ zrq=B=OPjXy%mk9CUdG$r(y#FsEo{sskGg?nV{3)U**1@}4zeTePh{w_^{WW{BH$Tn zMTUy?tFLp!qiDGjIK6O#ss3m8=EX%LIICDMT+?A6vYNf=_YGOwW5*L8M^Bx#b8a&r z!`BWSPAoqC?7AVlJ^50cZ@(#Om@A=BRhVML^NMAiXTnSJS)z_PiumUoTgcA+`DD>= zJcoE(IQ6-43?_9!%?HqF_CyJ^<|zEG4jCdtj9L&{1y$&^p(oD>+s1}?^+s53a2-QN z<*w(ChMwkMw9Zv}g$dzYE`TS7g^*!(#)K(d~tCH zW|WPJ-cWyIbT)YapL0DiBm9xc^9Wg(#`{?*Ludym26R8b!*fhlho)i^ayqk0W(Y;0 z^g4V!e}Au42<9Vm(V~zHLNH_iP|uM93)uT8+5=P7&Kj1J?WFoQ?D!+Sc8l^qj1Mh_ z8F-YcQZX_x&g;rNuE9#nn&_VPmdk(kV0RE~7oTK2nE6Gx$t%WBZQj}~e8^)lrUgjx zdB^;RVX+78iSOim@dU7 zs?5FaHRJtv`0tjuurjr8*s?V=?71pYutee_QDtBmUXR?UyMAb8$(`BZLul4kioF`& z!pMVzO7*AjBs2QSYe@Ti?bUka!%TGl6T69z@P3liILcZaT!gns{;IjH8G$x=+Yf843*BVvk@#pwpP&s7+lT1hG<1^&XwAQT zvN^o*Y(|7(6hZJu*162sdJ47VAI18!ErJK?-pBqTx9Pei7QB_m%ob%>EyLjVai)pK zrBYu5##ABu#{H^fm9OuU2SX->kNy!&KZEYS?iX+x1#n{qZ)N6K3lYs*h%h@+{qF_& zfdZDKCq~R}3upeNxr9c{H6tE(A(yVFwvY7Z!^biJj<|88o1e&cYkH}f66zv=r_qpy zF#3*fPX z75f#Q_<2H+u5ZFPQc)undU1-l6>e!%&$s{d}nQqdm$u;`1vz!Rmof z?RBAZbFE-lG9858gKKC#FABy#?HoSOwJ`9NTVbs0`<+%K1eeNUYiw#1#qm$92`qbDUeLa>aBoovbL1v74?zoF5xPe|5 zB>+8`wcmrc?1*#~wy^(PWg9Q+VO4>H?i1}@jOO_>N{^c65!YMLWPdhwj>dJH%ZHaMZa!j2 z4}knrggwjEjfwXHezQ)f>qUJ!dL#U(0_O zEzOC1tvu{qq%jV(QPage0aDw|ZyBU|vPhJH4y$6ubtfBpj&orWLsw}6N zygnpNI!F?EAg3ipF!E9juYow zIzy+-vNe1`YB?%`D%n;V!G&n0ObHz8Hmw6xV4f+i+m|#)nTpX;K;Z!0g?CliUa41Q zXO`)2N1A+>)TNSVDuu74zH2;ht#MO)&{$hqH-0xFETqV#sj$D=rXh!U^3F|M$;JERCnfYFi-|7D^LiM+m$br-xAVwRBLQ!gK1=q5T@o4TT&Y`6 zUwl@j{;I^Gl*ARGDK$adg!qeE0YDY`S9R_Ln&&#reYY#XEBISg#mCmLk)ZDfX-|T@ z(8t_3!^`izxL{wpwit``4Jwnxr7z;)#1Zw;4QG(FAuEqE$)@~=w@0hl;@l4_3X;1b zbf1&C@ufi$eHBB$bH(4BJF#>%X9@`GDC{U5d_Ed`nN(d;`b9~mF--VlWXkLTJ_xmo z*9VaA1H}5;op_I}>IjWld9J;u8|e}zFcxQv8)>ch)N!R@Mj(CCQM=s2U|jMahFSUD z+)`-Vp=qU}_{}7dX#O6f>!h?LFXreH{-Ms#SiEW9D}0|c7Ary8T1^b;)>AEsUUnPi zE^e5WzLa3x&qqB?d9lA5wbHiQZP98cV)$QB{3B6WBtLPb_B^noV*Fx9{u1=cG)ehp z5h)Oyo`1*mW9hf;o1Qo*cxOoc2bS2IiOVZ?d!q4q(DB5B+A5mrY1Zr3F7RE|`8KLZo=}RCMJ-n(B_UrRsF2 zHpL0#v(mRrA%CW(gPaPxQL6c(mY=k%&$YTV`rla%$NoVm)g%9O8gBXeiw&>v4Hs3~ zWmeuT&__GcP}fT}zfFA)tlz19K}%TZZv2)GGqbiNtz0$Lt^FLWaSa#SaM$1K^Jg5Q z}Z5CMFIOVA)C}`_wjgfz; z+$|X%hi6A^#dYJ=A0I=nKRC`~ENR=sg;2FDDF-FlIx-p@`!otM7)N7EVA5|X6U}%l zcaWEU)pv8cM&eCLOZINk-Q?lgp=6Nd2phb(v_+rr*vntGxgzstjZ4}qaO`#uKVuU3u!utYP!Zw)XR<(US=J+nE ztYS0xSwSy5TRfYS3_{qydouOMSkvkKeqe2RAP=tL_DKHK0?a~Ny!r1m8)guy=#72z z=mbbcEeC-S=>4!D2XOZhC&`iHLj66%j+m!at>JPNCW`{k!{-dS>|obXND;UQjG)xH zvjU?Rt-btTnv=~rH;+Cnx8nC$8A#o*-53-$7J87w-*UP2QCb0z{lBOK5st)z=HLTW zo({ZB;k2{sVQpJ6q6arFI(;*}pglpf1E1>750L&2u1Dw0M9LH4A~R05vV5X@nTU9t z!AzB)<=iM(F-U4-EBU13(%0VdeYeZs&C>>w>JFJ|W4tN)M&xD^a#O06QL8L|;cs^q zGjeg*qT=2PK-(nTJ(`%EN@Bhk4?Y84W}^DRTPw$y=#Y6^pN-vfq_l<}&Q?B9f*^&fB71yNSF%y`vrg5P zk}VlUK^(5N-;l?~A(NghGAv2fA7>iXoOSSXE@_+Np?1&2AF&*+D;GD1=JU{NR2v4? zx()o&2<6PJvuV~TeQ?~!PNL2rvz#$LL90|8MO^U;iy205*=pOZa31CU!w_{Ja;YVy zQ6JBPEB>%Ra2KnFGe7(-EA9MPbdn4L5AgvbZzHqq(t_CZ;@I&=lj-zKZrR$l^f@R( zPY29OZ(1`&dq0EM)Ak@IoOk52j-6sp&r!oZWXm|BU_vSW?^JPb@p7JBxMx20^Yn<9 zHZ`|*xwuHBFNL6&Uu@|^dg>WgO#;Ty0`>fy%gS&p^m?F%?)h8b^vkCujgtlF_VLiVoO_3-5OX|#K&LMcDn;5c&a@6!^vR}qk76t399xZ#kN z#ugJ15eZfY8%6Vljg9t7U7K0L%=KX$X$5eZ@%{kT7HDos1-wRS+G?J8h9`Bl$|iAQ zW`uD$;xAidFdgJq)eF!=sNrl0SDVpD^+<`W2JaZ*i1dVg(imWs zZZDEHp?a)`yRaZ|@Jq@U3A|?FpcsWect2aPUAW)AOVap#C<5R2-_@7lhsBRvhHg?_ zcJqKz9fgelHS$xL85_3&n6Pb5D=TB>=2b-vTi=e%Tn>G<=C|61yb$8zhH-|{VwL}!JU?5l~{ z@;}27lgE4MYaIOKyo|!7HAOvXUdJ`JZLsAq3$s*ugJR_T;@GTOW-bmTKAR%XY;0b?xYkfi%{@x0f{dr$wcB@`hB1N7%T^OM*H;28{5o7-(D zB3|A-e$X;ervBBdDCHBu8_XE-GvW8Edm$|J(gj`z^w4++2Z`Q9;S4|!qU%x8P;;7q zmwq~PXO*2ge^yq6cQXp8g)??IIMe~AtuqO^PC8>-8(R{9DTNwJvRI3Tl#GE>BE}RqL;qE{H zUwV@HlcGj6*2<3Dh}c5kw>7fA4KKd~hZN5lpzb^TXUQ-&eYo3PlDmxmn(I@WbYaOH!tjdGm(yBS~~Qdd*S{fmt^x=I6-WVt2OmhiFZdZlhll3{3P z&5x53@#>OOi7W5y}!RdJ$O8L^LF3Y{kpH~dQwH!fBYDX1J?6rZAKX1ZuR#W!xjLF z^_TUcQ(hB(^xdK+-6tJ*F!}ci6@WgFBUux5@JzVI-6*_7511J)@OUsU7))ooPn{xY zoq`Ia*KaN7-N%@1yttu#O|TRd<~OQdzq_23eG7w5v0ofSZYCE;-B1{@wU29w|4H4nL8G>HBygurezTI$3}#XuNa%#ub*Gv8Q_`u5;SV72PFch(ZM(QFW8$ z_Xu0d7v2f}J#YR|aLt(JC0G{k_LH~?Z+-)M@d@l2hY&*tFn{Kofd8Y|uj>ZJA3qU> zDXkLYOMF<_m3_Fdymzee&zhXBrMI6ksN`>6d^ z*M+^1e*F}&rLO}-3M?h50i*^y2o)5!x)}}AE1eTM$^2?u1ljQ}sxk18{?N#uF>5d- z`F-vctzuL=-_ApAhpA`SWM(pv>-ucCqLci->t| z>;$UbS9#C&buQ!3#K5qRUXIGg+UCJ64?Lv%H1`f6Hy`T&F(bG~1{%c=x0up7+I2NM zrfKfdvXTbB(+UL-4FHMH9^qipcZSb=k(drfqE{D}$9Sv6*Oz3TiN?CbYl&q&l>+_~ z`ntAOF2nlkImtrh(M8^dZ0W3J_wu{bi_qoOe>YctuVQ(ad}jzGfx5{_ zkWUbhV-h!gbUXDCE^K4~pbKEMy9JGKMehTa9jZRn`kA*$uApi;#O?d(+VWA4qZzUBOm$=6zPdbh+OBgp~@ zvYB~YrQUg@U$x?w%QTv%A*??;rM4#0@f`jfn;m`@4OggWu5{QpoyAKrMmhxYH5nV=`OK3_ z{Yso4U>6Idb)KUDel)SKehF}`?AgDxa2r&hrJ=$AWx;lAsT*$xu-i;Dl@P|*F9 zhD`Bhk&&}xF$b!zs0^IND<1Tg?+Si76>4qlPmyoq&7eUKjy@AACGb57%mGnM zB-RHea&d(`8#L4W{-k*t7zW6Y)Qb&x+KuA(^jxEw`*7E+2j9E{ZDn1=dE7YGj^>ph zT#)I7us`yNoOor--NCmL&|0i!)Drr>?m_h*ym4u*K9hbHmRmn|GxI@T03-q9mhKo- z_Y~m|MqpYSpu2F;wQE7Z`vA23XBA6l}n*s6k2sO0*%-_cW(0epdiCnUU zyx&qU>J*(-EUXvv`m|plyU($e_K&2!kJa6^MZS0|Xh%>oL{TFS%DV4e>wcx3F=yiU zOKs-zi{YWp4|VBP?t~jA*Ux9>RBbEl_Fuaz@IIE(4~i!x|M^EjrY*-B>-9qLCdqT) zo~)6|fU;%MU3}}QO!(p8C6d{tpC<*~KA-p#9Qf=Fx7Q(XttyA*_6{P`j$X)HqdS9q zK`|A9GFNy1OW4$(_EnhBr9Cs9>!iqzLNlqN#yQqh*KIqVj<~f~O9X*?WJ-VKV3dP} zCyz~^TI;`l#*lXL8Tm!y1ExdxbeWvH~4+&;!R>Zd@(19?cJw zBC%R{kG-2YJ5soANG|ycStul21Wz$*^5`GM!X46B4weqGo36=}(y9&w)E`}b)M9vm zuf>eTl106;NRIRbrnqd+nZI6Y6_zKm4iaHSWN5ww&-jAqJGHyQ*fN97UadPGfG&w{ zRRyv|juQ1WJ>)xthA5{1XF(gc$pgk;`Pe3@4C`0}TZOvcm22Xo+&tphftT_`P!TsM z0(|u-^YNhX>LvA3_~x}Y3omd4*0UDE!;@}+tWAj-m47l-?=92StKo&MbhMV@+t@S- zu2(m{Ikde@pR6TiYm%0re+9%R`m1HIyX`N>+A9ta`TWDbB~?N7i$;X zB0&aA4K4HI54tr0zjiO5N_!mv9$W5_0&#?RgsepR>0@NkmQg_p>U?}N(Q~elTX)70j+p(F%RLJ;uw6U=_qLdF zLocwdF|n-7C*A0eDqVT`(IZ9h zwa14vN9#q2K1ZWJUp<80DwWywDk7sD@8Ch*$oHXjIYvhKsvJCi$963Cm`Wo7M#sgS5ZAh$DyC=B<5I zB#iAIW0vHxG5wGzwP#r?A4tlDf3T}pBr(2v7T-Y!&+l5EmvopO)a(_MvW%;mDq zWljw0i1nf1cr8JX#$|Rwemm0D!;dCTs(2z$ePQpRN9%(?KcS^oUj`GWX@wulpjz>> zjJ+Z0-x9sMn%5GFO_^A^eZ6E_w{hpzA)LtXU7fmehFf+)EbFLW^ekJfS<=7{HuD)i!GBp{;{%jfRawx`%=7<}su5~{Q9eIMW5HSv&O z#L|=T_u68+{qJEBEgF&)F%bYbgPCEVs;zUy@$pCBd&68ywtXsDv*{6T zfA#1G<-5NAsuo>tdJaWxK<;-C^tzIXk6P_k|50#4h*tgWJTGw*u%`;bkBlEUEr_5g z#dx+<4jE=fhBtxr>|rxpEP2G~-G5FFkevNyX@F04j)>M`H;u)AsxaXz7WkGa9wKJ2 zh6kGY1c*isKq;U=7axFCU*)x>sh_IT^fie)?;{Iy+XyxOcTe`tDj_#y z_HX~i3xJ3UzeRd9)y@wPU}y^oGM!JMID`q5V^6w(ODcYZ%nOfhUQ&|=tnIL>F?yX z(!Lo8vUAYT5%h0b7wB|d#*&yYIqcmCc8!31DA)jB)rL)G=`Qgq5`DPnux;$lJ3Zc% zhLp?j*0tbNp}fyy_4|3iqREYWYY!5&h2B=Euo-v#`*^R!f*dw8E=h0v{EoP?tNW& zfooU8c8u?w3|xjI+{PA$JAvXS;ts~9lH}y%E2+gpXh<+$=;7mDR?~j{vtnjFg01ey z%?HBMLw=B6)E*AFVXeF@?s&d2MKZ!k32BLts11<2D=aWgH=Ic{kB?co9b535QbLoF zq3wJ_Vr{wp_u1`vCe|l?y~1bi3#1lg`lh|A9j#PgGU8Aky!tU@dN~pByC_~J_o7m% z^D1`KG)9(Su^O7CkMFCl9@NInYJKiSAhcKs$_s@?VrV&Ni7cXBmI-7Ch+o9qt$wt7 zx6Fdoi5juV5AmGLF-hhJ7W3b)e=D$;D`i+4Ha(#^`4qOK_4ox<3i*9NcR=Cx%?}Tv zx2NZWf*6W<$l7tF$zHYE!ni_nb%lQv;J;vVd_$tzoNZmfoh^hjSy^m%k zX*qol7)w_=%Z$|5uA^=mNy7UcJvSvuuc_t=hAIu(hEfbo>sLw@@o z#doEY@`VzaJlJD=+olGr(SNvD?)1!OfzTV;DiQBD;&Ju|+WIuye|Tfo7>*;R{U__@ z7Y$om3pjYPPeUb=?3gZmvP$}V$7L%n5hr>3bc_@Ary#j=`-F><`;j)Hh{o{K=kIxf z&%e49-Y;#eR&-&wj~>bALtch7a&!JK+UX}O?i;8xsL~kE*DZ34+QE?-8&c9v-dtAT zC~g7D*6nU6jx6R)VfB}xDmk?5R@33tM{xHza%;!xLdN`s8hHk!v`eyy2g_UQ5=%k51b2MB{M?R=2ncHfPLpD%l*L( zs#oVp^?@g`PjF=0e(FrGkHPf*9m}Xc--7qXbFurYHgkI11{%c$W@Q;c;Qg{%I4kMp zcE`;P;tCEC53b`KdccEZZUwr>nx}e9x(jQCivE*DeDG*cgZg}SHla3#(|@u`)L=D0 z)$gNb@!%$S0!i|aPa;nkMMpbIHVDINym$KVt4kk^uRRO#8Q$>kBQt9@^Xo1Naf9q~ z?0UZGcxqPD0nJg9)PV_M;-apKkp8CD^jX2W%cad5M(A&S2iF&etjVK&w7A2)iK@ci zp=~Lw^mUsX{iYB?MWIOgJhv=|pnDQH9TM^6v#`{!8+!|SCZut?234>NlKqHq`NaP!$P=#|8IE6XvyZNliIUYc+p;F$ zC6d?C{H%olT_!OV+_%E=*D3L5h%f=%~?Y=J}Y_+D#BUiDxa zDzky1-<$;e%3mBh22LF4HgH`a2AHO1dit;WfC8u^+S>d1!?8fA_Ux*ZZ||&Y>kPkA z7%WoomjBhWAz1CY`Vk^e5JZPUI2y#-P7R;p0?L>V82>b&$6}z({h334%7>2(Z#KgrgQg_bTRjkZ zxC9&xVRs&Hweuz{bXlES6i2t(F=QE4BBK?J)s-eZSR8(^Z2OVVF$Hq2mC^!PVmwV2 z@l&0fAgf}_0*hk@I0SEM`o2}Txc76EMp11|wq4s7gTlbjSE#}Z@3ng5eXdKUnHNeR&p(;=KHH z4B*ge`!dx~Q5qI95h$4LV8u@Jd$geP;e1z@L&(O}2!DZuA+q3v*&=oS{Mr+$?@oPW zfWn|03j^fn)k*v@Dz?=XYsyUWi|JD9%Pcgl=zJq{>;S$nJMxw{Q+|pfJ*Kex0=lYiI6!QSbil>wm#7rYe@VwlB^>xrj!k z6oNW7=pEQ4N4cMmaaO?r(zC)UBlA4Q?oi<~a65m?USW)JB+L0M(Yx^O7q3TN$ZQQl z3e!L;C{Mwt5@I;pF8&fQ)BU6H82bQWfQ4bWzc32)WrCPd`4dNW@v72mlM~xr6K}Hn z>6UDoJFgND1&;DawHc&*e;~kmc?&Z}Jti~wt+a1(bnvX<*!_T{nBu%_%AmuoGT7+u{c11l zX?wg^{(=T;$s%+HvhW4V5Yx?-QHSOW$15AmC@io|=1sY^ee`ps5OQvm-L_24qu~n} zouh)l;G;@qTFhIH0{W}^B<<2|_?-r*>mi#F=l+tUVWWLm^l~>9F(4e0t;PPEn{oQ_dCup;ut0PwH?)=L!7q5V8%~Ew4Sq`K1Q-1LgT){^+k9;N=C!F5CztX-C zgL7-aCu3fz1+MJw;o8hvl}phiHQ;VU1mP6wRI&Ztz}JblEqh{+;p3x;j0B^=+xjSm zxE@&5N;F}p6e3%xa5-Rieq;#-Rel<`KKm8oIdS$pQ#&* z1?K3CMWq!G8=Z2GSoacQsM(LYA71L8s`!7*^KN%41c)_1t6BEZbXao_4QC&w+`5wk5nZAs%p{;-08u>`2q0|Ipv zzMRaaxVa}*{0B^1F&dp7nAeY)hiMmCFE1_$dKG%w!eR#MauPdT#q&oQDug;I$m(CP zB{QgFS3Zvikk5(@7x2={%~Rzl8$J<9O!{Zba!>0fi3h#Kf!|;=A;U((l?|o2#}~3t ze$PxJ#?kh6;8>qfyn4X2LxvdI12R?QIr?=+)m*g25$RRTUE$w=Me z%(^=2yKKR1PX|4TH!S!<0V>gF3+j>K6iFmYLT7t(Wf4kS(Mg1{H_M>$s*Oo%jS^h+ zM&FZajnKywxw?cCk?25PBdl~s$xaqg%yDuwq%v{5#in zNCWxeqTpE=cLh$)bud(zsK>D0zE~oE0d+2m5ZVQpK3VnYE|M0@P0*fUftZ6N9^oNO`!p zVmeP^N7AI0zXV=ptTnBlGID;BTU*V2FzSZ)WXZ->x_kYjNIA5Z>zl5%xlkiCN;G_t z&mvX>EAVGvJm*PzJspT*hCRBB*P=1PIv9%OPbq4GjMX%!S3b4a6r_&yHxUw)RDM?c zqu_nn!3yk?$yXVjC;G86dlnap(9p-i>)#NKu!s2c|M*$;wd-L9UbpS$*W8oMblxNS z4^_p^pWN*f50i!F-+MSH7@E?#NSDnV_F>J!yl<#NGf844CUZ}c2Sb(G*&m7+&eVrA@-z8qCALRs%}+w>2_I#=K1Wj zn9@Irod4cyD*G+e!_8n%fhTkHK+uC&jvuVs91#LetUvir9h^YU z0ElD46@9A4<>IifR}-#`5s#{Tc#TFr+Bs-zeSx-~J!Jq9Y5%M|@m%=z)m49Kkdya( zHgb>s=@uWi`Pzh&z6E7%FC1uR_j|*s0W`T^UAQbd@ZL<~$85pWv5hX{-zMwJZPxB< z8p@0I2ju!eP&Xk8at0a+)!(W%M&VH4=HtEwYX!{qG8_!p%PC);NR;g~H+F+=@~Tah zNNG;kY7p*jQUz$xQQhjiGwWj-pQsVW3EqHws)cnRrBP%y1oBbr%|%n*p0r2RXYah9 z^yzWZsotT`-wwIagDrE4SZk;8yY!q~d;lviMGx|vWQt4Yxpp$~#PRBDy;s?6v$|Q# z$=C-LCl@C5{~gs&Si~eeze34-&5n_4&07S|`bX0UPiI~&!Fxmkb?J< zy7Fbo+6CN{{B>t=ZT1)#CsSiYP3Koms?j`1q8-a$Q$Wwt94nF%t)t14(1< zsGT%2;|M>(9fcm=&>-19PA-mW1(V9xiR=F;s!i9=^7o-iEB3`<9jo$3KteB!5N-M% z_{?cyofNyJw>q#BF#9|w`eC;C)6KE8zTKBK+jkx?%$%?|E~X@<%pQLFXltwO?NV&h z?^^sY_FA3XJ2ugjhh?j8y2_Hf+JS3P#RI6E8 zFKh3>Jdn=we#Q2S|IXHB-n|4Eww*q#kS?EfCqn@B`vkS&Bir+LDEB7V)#1E@$OfK+ z{#@SoK+M2ICq*wk7>?GQYy3 z>2jNjTKAJbjZvIOj>29P3S6*st@q@7{4o7CDbEyjT&c2Q>GzkKvTHNAQ&VrEn(M6# zcdL^i{U24J1IJ=O`rCj*%Zop)tJSBfoBt?K4suqu+3BU~f2u-hI!)tJU^gzmwqGR$ zwmi=TO(=F2Kw~pxtzW9;E=V-GIV%au2<0p&KLN~;X>nv!oH5lPCDrKFQh~%dm4Oo! zuJ}p)q++7H`Gn%|%X;s-`^$C`Ee20G_W4r`HC{1sh>xhE09zRC_=T=LEa(!sibur< z1|Q2LtT3&|bhV2FFUWg-ueiT;UVZjNwx!;BexADbM;ulzHM)I4xTMS}+Yvvz_CMd= zX7NNvq)^O=hr2>%lZC(0kdXz#;tZ6RGR8rd2Y1=_+CZUkHp!2PI)Sez>g#fKo9+&9 zFvD!+DrJj#tduwu_-V!e0Y7bqF6J9yB~c9?5pvmO+TjTR1aUJ(-ke@8>QE5p zMOTsq|LSV(!tra4k3Y9*%c^LWeDBXq1HSUr0~(mw#4}eJn0yJs)l<@lkK4Fi%4bcQ zR&FWJP30L?)`^%!p(xmGa%M{l3H|GMcl2J8ualVhMzUnKV)OA5EICn2wG-%O3jsDD zkT_SF(6?5DdO+0N#^47A(ybaV2s5#QR+-HvUpVe9EL1KNk2-EFX%yM%cNqn$Sf+|D z+wN;Aw%1}0K%VAX%{MYJOF@>iK5ynVGzm=Bd@MhjCKKD^nb49#ElEapol*^%@Mw

MWY2!|(W6PZ}kp3ldZ0$2!OhmQTY~8nv z;qKEL+!Zh2Ule_sTtDa#5_nmV*)o*5)UinfC2X=-2#Kj5swxAVGh{BD<}#721pNhK zBRO1tQAmCVu1oG36S(a%yL^z12l?GQ_+Y%;k1h9VmT{54%s*t50cJlLRD@tXxxEBGqi+ zX8}+XzNV<8{(2?1t=-i5h+e|+y*TD!SKI9ACi9Ng);d?aj;nu~efz-kX{$N8IbI?$ zt(0GhzK@$4>VCNU3FWkA?e$i?E**URCg&E#G5ei>Y>+y>u`l!=1sgPf)v9ZZhLH&? zsTNkh8P}>;@aLvhUWH7;GR+j%GSR3;T^%^xrP_LsYJ^#?JQLMDAk*!Tm4V&BgDI9z zb&AAbNP!6EzMghN9?%I?>aphSG8n-1K4DF`%35U z$2bQq+sg9dGCQ~tK}&$iVW`zDx7;CgA0fL^yAeZx;4~9^Gt%>jX}JDA?j_w*a{?Xo z;qcyxZQxqwE92J8;q`etNzd`!!}TP+1X`sAYvj~e1Q>4$T9EGV(d$7Ydl1ox+N7vp zFX5cBtszLS@_Ap5rXH&-{^nNP5)1H|L2_ z2^1K0UO1}Eg2Q$TtYw&8q&{SXwQ2d(6F$LNx}0{xoYOXt#@@b}ByirPYVPG-d6=aI zPZo0`kAidl3lu`Vt=-7@0+a)Y?r!jPlJYE^4L*ioSSB-3k+kselvTzX62qT`GM1dG zFTgn(`5PZ`_U}jf)g6W4N^2qDuJ@DmzI2OT%~K&^y;YUYzIL^AKaPU@k`wN8#_j9K^52q4^&E`3sI8>SGI|Cw6T= z3SXBDq^HSJeu5ACucd5P<)Zt3Epj&_Bg58$bS@KHl)-KHMUyM|k<#-sGu!2Dw!gs* z1ht2e!?fQi7~XHP9z#EYT{w*1E*v++5R`dvO*;kkOaCY!@}nBsg;3qiUJzp|d&x^R zcoV)$(;+bIE$75C6rdTE8|AoRHsggwk_^1PzY4;>4s#0Bzkv5S(zOB8n_@4^054nC zp616VTt!HavH^7H7W$3c1ID(#+@4-mtS7pv`pf$(x@=DLH6><4(3o9X0QcUDVohvM zE+jfncFl~BB>YaRbc~N*Pl*9a?mvIKU+}#vKH8<5#c}vTok(8jM6&C3nz8^SN?B+P zuG{vRmF@0taS!}Tef2baV4`6r!)yLn%FTN11JKPZ{#oz^LJGoVBKtK@DXlj2bnZxn zi2rh$^nq#lC7<;9JU1s<`=2@9ZS4{Z$UEt$g1B65&RLL|ol}DSNBV`vF`9hC7*w z)|4Mj6=MUybCm_TFNeR8`e{_{XO8D3?@hyk;ekgvgK>s5)ra zoWY)XYW>IBkX(Vx#J zvPvy&k7R$<8u+U{6WDJlLS$I=%0$~1cOpM@FvFQVL%jRagE1gx&sA!#7bU>y?oL6KMYcUsiJdwQ zh)lccuIV@S0P>bRn#)ftuY$_k5tU#@LXEroxyp^il(Iitw-E$Yf@VXGm#h1x!So&` zjy^P;_Sz%7wy1iF-yzJ`j>(8d{1Y6L9W@b|3Q*nvgPix_a3_N@^m8u3(@Aa)`i8tl?0Jxk`B7cpxRw!9@i3puuq@mWnO>cC%Kci%Q1A z)0!NrZa*p%6L&fF)zDMwI&S+(YPu!CDvAwRJ8%?275P1APum@s0(7mMgc3hj*?J&q z0j2Jq*_lA}TxGsAL$_jW_pD~%UOMs^0lhO>}>sl7FVMKjf! zj>g(1caq%k=!;>j@q>j|SJa<8yPPoS3k4FCi2+R9jbdWrF%&MG=i{wSodV&fHI$?N zW2}M!742DeY^V;bZ?S`iTC1h)OtLDyX3m<~n70zRjUjH}btq2p5b~i_1``JAsV1r7 zAu(^jbWm?6e0y%Q+ZX4I6M{i0@td*v_M1d4b6d?DmLFLT*@k^M`hCl`JL+9SU>p8XeqFl4cE*4XcW3OT*w}%rJcJe|c-hi^9p-si{3baj z-?jrVU5aT5E_vWRas}c9~83|8F=s>u0eEnE$^)| zasoyBN*1=|&M2pu#z7gU@GOk@ns)iV@rE6hX#o&4OzAxE5ImQ)ysiF@LagpUWYYIZ zShXWy>5c24X=M%bD&y?1l=&TZ;=d0b+nUJWN;fcM zGOh|fLq%mvJ!Ro>4KYi6bP&3*5gfF;vnrE_xJKr4e0lEPb3oMAaFpCV^0EuwfUtSE z&6-Z${a)LG+>`KqeR_{$qa`KdMcCgx|IJY4IWFb6R=ENqO&6H{7y<-K#PL4KMGGF5 zGv}F^P>ml>*4^nj*1p~a)jaFTiWoJSUPvUL*qg|1BsZB68nLx-Jwr=ka>Lzc_1dSj zWW%HQFgX;PynCQGT!qei)1aHlS1Kta5cQ=zd*f(UV#Db7IhTgiMhE zT0`efNd0Mk>0DcVNrIoRdQXkUh(M|^LWZS}iB%&+xYk?2inhq}s(>$p_Zpqwz7?{G*dtF@vwydc7!Xv-^RW~J{caf=H2X_4MWTDZrZAQ6^ ziTgDfF643hG~zT93zWMnS0+Lo!AVm84YT72OgAaa6Y7?r`q-I!?^)#JRp<6drd*v~ z-9k;4vkhO&G+lF(7+4*Y+pad*$`7-cn*OOPZ+cZBr8R5%-N@1ZYW4pr2UZftY>D{<0Rw_*r-M}|*Gx+p$)u)v5_K#= zJ$1Chdt-=GvNDdtHGv&UU5%ik!SKEaoEze9ASCZ@Vo*#leLPJf=@t$Zr^QI9D#zw% z%|4jiJNAl->)Tf6#5Ltosq63g^5tBX^H27lgFnj;Jq@OALt=v7!Dunbyk3sCh*qnk zOr4M$Fs2vh4jGw++BMwXUKW0c5~I5jM)qOZ^E4@k{9c0wD*h5*WC!uYM#Gkl<^x}2 z)S-sGG#%6?%u&hUWf;Fivi#bj0GT2L*MMNKuu2QBuQ6TE`Z&MBrtaK~4(`vGADgMI zVl#SkNeXx*N3wTr+T`?^)LLK~bmcD6QAj9gcyPqAWj&io*W)8(>T_)MWVYhDx}&?B z>Y2HcGlJhf{qzGQqp^{{ddBJzU-#A7OiS;VDMvpf)oQ#84|OgEhpMk;QvsC-J&iNY zS^N5X^YF)4{!uK8Op?5YVKxM&E;4UJ#$jIv3mHU|f1E%XEAe{!wiGm-YqkYJuG~Vb zR(0S_d?Pc}vXy~$C~zbYG)o#>Ye4GZr7Fi_eKVd7hz`C;s>Vc5jC4NO)&XK%E)qr= z=}Kh1mZ!X#n9@Uw^4phY=fl!1UY=AA#`VdMVLcbm1G3KaPWmq!$lUP04$4+zLSz4W z@n7qCsPCgg78#gbWx}bFZ^QBB1VRSQi@?cEN7Q`QqI) z)>P5@gIL?F#*A)mp=7;Dht?^uoS5PiW7nw)Xl%Cs0Bwa^*|Wg)6LT)KNyal;0>o;k z)QO))43-VHtobSd9>q2fuUdX*vk+vQFsRm;cNHywM|Ce!#^>ly^=es5&04L&{dzQl z-alJ6y^2zv{*(E6gHMrA90&X&ihIbKgyot*z*pvluH0y=R#wcJr15q$du{fQ>28KLaO?>G zHBDaT)q8p(0Z%_0iyv$)b1XjuH^L)grIlicB4?myJ$q~d{GjlUOxpbr!whpuSS{7B zwY~?M7oireqOR@|bvTBQNW;lK;ebYQ6~;-VA=y~(BH;OA#oQAXrdPQ%g?9WiigVU{ zku)4CWzjl1Lp<5IaCC9vSmvSRyAD;UgW0*6)*0QWMcQF+P8x#PP1`E5mTy&Y6z-8W zAVzOnCPux`yK2kJiw40muv8P(b9lNoRtkrPKDPyi9Zi8z&y)@rR~g_T>o)lOK33h95YYJ=6uWLiAxh=VarQukR2yy{ADAtAcvlXmy7e&> z`D&6w@~fb~?rWf#N~QDMxzL<&{KQ}_EwSJqMcPxw8(gS|RV@WCZOO6a(10E_$D9gc z$oY(y-HuVo`|kh}B>hE-DMNvKB*YGgzq<0p%ay>{MOp*;sRZb#gZ^VNh~HPD8vzBT$6GUk4$Mr0jTw zxJ?p_*TEkoDavqfJKm~(-|d906!)l7+@rgL2x460X{dCI|BvR&r=L$A{mcLr=H4L= zXTM!qs}ZAi4=yOB5> z^RrL!c3J4uMb%0^I7X$s`&Ivy&FHsL4w*`0qgv&JBejEg5Q~PM4tjT>A+@?^ z+F4Qku$lE&`c-sLDmz`gNA1u;Kc&_8{yUZy%Xv~$-;83Vi{8F|HgvY~3)k=8FM=P1 zChtiRP8Lq=h+>r_gIn{)1mSbN-y~X>0M7ZqDg~)KO|ApN=wGt4{>+H=EYabb(w&GN zT=kPYJ>yrY^MIvsqpQd$6X3~`2rh?CKaBI9#E{-PP<30L zK85IDRv7zBfFckzkKeSef@>LJEuV4=vQvXAV{_sS1RLeI+06$IP5oX683#!wINB6y zxY_XL>S@l;otC9O_P=0Go;^lwze0&c^CfsxPSl3&P5q^lb z9&K>TdsF3?c*Y5Z6ZKzUtZ4YmVSdrTQ7$FHs!&72wOIr4>YT5<28drZ)a8-kb5@1bP1APxX>cBRuu=i{;vmR zL+Jw2r>dt7um`uUaBKu;ZOF}8DtH>!*7m7TozpQ9=o~ePNP8u%DTJJjz zd@vwzTQyw2p;lRek3Ny4t&(e3gF$m(P5&rfcAy9{jwywOnuak&(6Xt@@eV|9kDC75B7Ia$4m(jo)$)a&mJJuEpC zl)SL8ddqr$eURhlD~7}VzeSrj|@#wz*!Yk#oNDlS<`g#iu6Ne@0a{z=|yUt&Yv_BF)@)BqGnaI zPrvcW69!cvabV7N$NND4?V&wR6S4lcx_C;)m#V0|5{m4hOu}83moG!!h3TPP(`a(@ zks+l`CUCsQ#Uw{!{!7V_OHX+Djo7fh>Ym{jE6Xn&h@o9UwX_E$F^o}p&e@^hMCE+= zwtug@U1ET8I*-oE5Rdr&nbjY4I4fPB5fwkr;iN*%e{@ZpKB_d8-dtw8+7$`|{Q|y2 z&>f3ky3~7fnqvMVi`T%0UTh+sxdCZO-?DW5OXs|vvk6GfZ=%zK*oIlg6h?lmGDId< z$~lCqaB%L}=~C+?Y<|2il51-CBbHuN@Ji^+GoP04-Mk@msv->6|h+f%={ z`>u2A;dZg#;twAcR$>aKrtj49wTkRlo;Q83!RN=9p@>dajp~c5TZZ^l)1G9$KoN4J zc`gDxaEeloz2~WN4zfbw+e{~0kX}0B+uw*g7DG^E@->0%ezW1e1 zX(WpqJTRLSx#UI(63oD)Tbn0|>ftdMevOi+*kEul@iG%-B6l{sFO>hN__eN^1};41 z95`y*Ewoz?iN(pyCai{OhV2}75TiajoVXtiod*%}|4|?jMEZXe@_00uC{ny9ewSee zK}*lF(kt`xCyuNcTz~*TntKwQloeX6DqyjB?Azz+n`F(VUlOPH4a4JZRad{G=re5# z-t33)Y=h~w=t!2tygcX&XonU4B*w3zv&j4N3~sm&J4o&NLPv7l<=TKbMcF@!4|eHo zH=Q9S`|=I#z{H;tu>t3U=96iMFD$m3`(xZ2C)#fSnTQ3$n#Hqa*%u$*bqfiwxd%}- z@eulLkMu5qD;5tio2M5dfDF}FhdoWnC60dI_gXh)Ms#{2JVDG}Gr$_jND{h`gnH?< zNVs2R*W#IhJ@C6)7F78&ZLh%%BOE!B>3ql9<_gUhsOL`*-p+J^T4lFhznzOb+T5Od zGBYwR6Ll;K8ytyqH?6BGyxV_EhjBbMuI}?kdFh3KjV&O z^;pirV?I~I@n~lYKHJrgRy0X%;PdG$JWA4m{Evco6jA~t%5)FQ z&`RgK7AZ8F#_8tYTU;`{`*@U3Ou@jUrK%M1cJ0nQe}Vzdl&6vbmEm zJ8rv<^&oQ-crUI#iZN-*l+^E=TL77aCVP^$WBt5+V)!!zX(S@F%#KlWtuoz{Wt)yq z?1znz>&VGu!NRneE{tq|C+n@W_<1{>&C`a5e^Zwe`?Xr1!C*}^K_)*+-%2B9nDwr* zJbrt9#9-hTO+9?{`WwA7jCj_EXB1=M2}j^xS^L#}hs&+PBBHYo z#G(LZA&;lRsPTxOFYw5&N~Occ)8K01DRQ@F!Ktll-GEeay3ZXq`JNgAQmcIYRaEfWp^#lD|Z$OY` z%I%&BoTLEO6Dc57Y;++Ap9oobr=f#mibXUeHufblb>`CHt5V8M!`Q>$R6=aEi-8&z z3fz5ATB9&3`ME>M8tq+7V;AN89`FDIVV-$cd zPYX=AY3=AaVg0h%zVXz+^;P)3PnKx7uQ2NicbJp5jQ=%+E(HH1nJ7HA%ZXP%d3=d# z4a8GnMD|oMs5k_jmJm?_GX(w8ldCaRugTpLurgfCtBakPuzI=H7_Gjwht|coC8P_u zY6Ghl^ABR^g)%hYB=xchuCV2+93j&RSw+m_x(C(vZjM%N0~TMjGOfUK1uwpQx09esR?s9H>RU6XU%UmGY5!Nbv*h9Rj?brl2a}-9HiT=g*5zj{N^&;{ZvrMtTJ7FiRo@fo z>EQH+GeKjpjfwT@uC8{BYS{h#+3pa1bESVbC<;dU30^)haI2tgmlAop;9X)0_aa$z;TTQ{i>GS|FIt(^caY z1}%wvXw~f|{3orOQ{e=dRASAaZT??{+|=65vm+eOp;x(vW)!4+yU`7Wm;;tSS({NL z9T(NQj!8v3&Q}KtXFsoY`+193d^DsIlN%`Oem%g6+5?^39@hes_<{Gulqhd9-715} zSpy$LLzRE#su9yJHY7U7(_k2gv>7K{;D|J+uy!Jit5lTl1 z$At}i5Tw6ZVcud`)|?8zLA^B_c6tRu6Ov3 zC4uNj*DfAxt{Ikz|1^AOJlM>dL)6Q)qh2qcLRd^dZiuqiNw{4rlbz z$o^N5osRm@uR^v5|JTu3$2Il+e|$Iz1te6uLsF2Go}z+)ihwjS5G18UdTfN!jf8?s zr6nfaIoPD6YjlakWFrTReSY`*`*V-SecbJyyK~O_yx#Bk^M$aC;UBcWN28o^7CZs= zzoMzjU4@5E;DH()T_ERCM-1pX0dB7$cCH)f)d`on$o6B3Zx-v`V<9EEXqc`fvm1!k zay>&LLIj6?kM*~4q``QyVMR& z+yj3ouQ5C9qeMg}YSz#{t;zwo|nb8_oz&NJt z^Fpx_0YDMov`Q~+ag{pFFB+rZxzk5Sz?8L2t%TSONra{Rf{T#;vYFI|DFuO!ceU!s z5rMuwO_P(_yZh6sds7`KBuS_%&TvNd$yH7x;M(;FZyiM4l18ZS(7V;OfAfC}hNmn# zpGWA4nqltHsfQ*z?3ni?QcC#X1iMb25ULlGY%1d?*_ZddX#g=U?jy@aw|=c%t}`c? zh*Ft)T9J5TpA7b&{+7T$j;Ag|4IvO+#H@J{o}Bg zkGh-Z2z7FqWiyiel~g2MM$;QI|KuCX^N*d|^A1Uv5_f_tgpjm7?uHYw6&bHsIk%qS zs3+BrWd7*djZBUq(1xGGDg7kml_4()YD`l2L0anUs2#?5UEN;f ztR*`7H|xGX3b7t{EK+RBR1xtQpl*TQMq4ga8;YmB+qYG=NZ&v(z*NVTn5bcz#Ni&^ zH#lHEs_nA*tMvpI_@9OL=1wc`FNmgnbN6pgQht2<6;(piJ+6m(yi#ZH;{Sgeh_Z$S z620Op5RT9oe?(2XL9>Y?lJowM> z7m5wYLe@(VoO_aK1EG{F7tV#&JBy-Ot55S9XyY|R-OiA)AM!0*Yr0|g?2?9X;{Q0T zzO!Y%tbCx&@h{=D9HEV@ZrF_{3?JVap-2K1DoGt{L++1>VsR!t0ea>0XrY;T-D0%E z1}-MmOy#O|K3+ZM)jf}|REJxsUp~c%=Vx?sXsu5V0p<8iy-3{kHU9T7qg5!5|M;ch ztZxsCTa}gT=Rji7m(@p8U(cmIG-vX9*OPc_vJxH+4mB`5dnUD27#U2S0fSNZq`;5c zWNyhLTR}ig=hO}~o1b8+YkrZc^j*ZegOD4j?jlZ0?#OB@8_ek`(Z z`kESMt=JXrY|<3AMcz5tqu;WomIy$k0?afmUs{tm38N70&tz+%H2X&C=d-H6ZnBuF zGkmzeez^0vTpE@rJ9&GZwpWH(!(2E4noFlX1?s^Y&tGTJule{G*zcJu+Js`C8g6MwJ3HG5xBy@-APO?0m^Wo}!)xC4bVAf&-d; zgDh5wJ1ewNi6`O9qz|jfb&KTt(?=!D)(DT@ zlK7yPowk1XZsNVMim>ZzP?Gp2{(wk}MKA!S8cWChq?6TTev*_) zjyWN!%+IH|l(4b;^QnjQ@$smYXw85KyGi%3qH#*votI5Ro|J(Og3&O}>)3=;;Y>6{ zw@WpVke5g;#>9)ID6(PT)2Oo5oFU23+ea<&*(>fvZPp=~;LZJ{k#?(1@AFI36uOth zsctlsfQ|hR24ZzM%6B_UfrLaizfdkRQNn3=i@EB1c-_7!5M+MK z6jTpE?~#OLv8b5Ox?PWNBiy`ZvbvFM6k)Pf11WmhFzWMfR0wTss~$rp-g`<&R8+_% z-*jj-)@XK)IsA(J6>LeNXLL+pG3DykxgSjbBB#Seb~bsfqxZt=IG%v$vA(VFv}vOC z#qr2|;46TyI^g{|v@N6D=$9<}8{;dvF_SkcS1tIXDuL!hvv!hc5sa}BXc?~}=nwat zXerkd(pvS39#sA=uXf{?e&w7S{l+UbRga;B*ED(yaHJy%cVLl;d|=X})&D>|WS5Ii z9j}wGlkZPe)*_>Y%q*?^q0O9-`dSB0KYRAa^S1r-UB>^@R;U50Mp;ewG56o4RKN49z z33MUg(#oek zv%mO8(S#w0|5AzOYd~Qo7tDy1W$#{SW#QiZ0~a4J{_eDOM>L7!n}MBR)=8usFFsu$ zg;nYdP5MSSpj=7)3Lk+GO!;S{(3C6j=PXtv{$oE9hsMj2K#VNC_UC-}w5@K7Rgb=n zA9ydt$K3FZbw4GttEJ__mYRREL)l)AaYJ35K|_|n{F79H)Zak^YE@zrpCv4p?rEVQ z^}6^tge$!l4%qNrkO|4>#s)6+$`s8MTz$zD+#<&65og1>crG)4T z5|HkrX1-`cI2+QC;#ZJeDBRo{w0ro(er&HUwizqyaSj@2$Q0pSeF+_C&=2~cmAzb)}WoFvN2A( zI8QONm|=zcKFoD;L2#ScDwZ46c~FvYaxeZmu%1n?GU55pImy=vV%@TQTOCZT4r{Vp zr0;8nV;-`Vhb`{wY4h0!Do{NAV~w=YmS1BlA9XW}0BK3b3m2(RYC3;TmLg(%3}@q4 z>OT>R(Vv+T>QFp^@jW#&BnM*xrBr&ytJG?N?q%vj|14t-`Ghio1oeNcBW>CId`s|! zrTUEjK*{ZLWRs=~phyr=2*vyEh)$gqZJ^TKs z(=6_8CJ+Mfug{HO8dDw4d#ohx<0w+$%F}=qvv^3f7SGFB8*8aRb%QUHMKyJ1?+Zry zs!XT0oTc+Qc^vRwyg*-zAjD+}OxByy5NOvTU0>^nT3g1{5T|uXS>N5#k@SJlXtm&b zuLJqtq@lf^4u-HaV74E`UbAFSzLeOLa+&}xTB)7*Yu7M?xZhCyJm|3~_C*HDoQ zDQ5|T6?9_xttg#{Zb z(1_*O@SJ1n@lScih!v;6zkKruw|XG;MYU{hCUKs5H&Snbzf4Sjj8hXUJ5F zsfNox;xcn zRZy@?41i}9y)2E5JLPUN+P^ues?-|m>X6?FAgboEzVLWSoEOW}+pRKNEvkvn@aUkK zNkCsVjA(8RP%bUnIrFuFB3$%|qUB|+b&<8+Sm`(Q-ikPzfZNm!naP^H6Xo=Sg9xS^ z%2n?3cYtz6c(w%WjR7%!z&`_KNy;J8ubR~rZcTTvytAm2ifvVOFVs_%;-BG~|6>rZ zcjC?x5mvnwodRV#jd|-NK#CY*X4@I@V_;j!iYz@$_-o>`jyC#qqE^B7_Et|K-}pd zJeMVj8{)U}$?g}G2%sny9O$M_mv&mGNpC|!6u-0zL_Q>Vlm*qO(G><&TD`NYvC$qK z=8SF4c#ao=HY0xi2f{MVp0A;HM+rbGs>Unv$cvM#HhNel%h>N1pMI;Z_$Au&!}rO7 zmX0|QrsHIFSnS)HEBR>;Q&gk5xm1s}3eqlFOY=Px*7S)Z4+0v;4{GQv{maD5K;G*8 zTvw0WCWLr5KH0PGEKc`RE^}EVMhz&8`2Q=*9wG_YMZvCgA7VoU2J{zEFSxn?SE_3@ z`IOxhz)nD%&NTl6^*LuDre~0YJ^AX6(%!VRo^rrh;|Eeer24()@8A%wkxX`p^chF6 zDZg8DUu{^3bMb#5+m7t@;WMT}UPTS}wVlTrw2l=*RUT#|4!m$nCfe~h($K^QYW;c; zmSjG&R4cKx!gQ_Y2UVz(hUYSK{ekMAc8yFM{)M7_pS0lVL-VNT^)@;GhKV6t)7CkO zuetHh#LF^y@SKn+iLNBgbB3craRX;p;Uv8soomV^>cM%y#Es7T@D9ZjL8+Q3Nd zi_)2KqCF08eEU?hpXs;H4{EdGGMYv2s0^U$f=bvA>l^ZqO`sxzr7#_4O5IYEF?nS|xsS zo!b@{{UmN6AW9%Kpp!wAU#Vp1#ryV~lnG?5Y}e&(GG2 zbA_ea+e=sq1?c3S8piA_L&5>mZzN&hxD8lq+>_(^YZ}l?IOi8FM4F~GIX zvi(RRR^Kl09Fl4QvP3xODz>X59tg`iILH7m0wxMn3JdYl>W<55$bNS}Ft|VkQ8|Rd zPriX4`T6}(JKav$s#nd{2>W{4@f#vRbu}qy81g}3==2UcE$EnE-z~wc6TVDxpwI^q z9lH71+xfQnI4|Q^Bs0c!@Q~mk6?W1wTSOhCNS$q+aUAr`0;PN+925#~kch zH72ozOoqi=mJjlcb!>>T%#Te`CHuL4OxZ3Dcye~(N>tu$KUqG=lTf1r9A|oglj-Je z&Fa#O7JouVo!9Os;Pqs9B>*WA*#wyN4>F{N7&q&-$al_$)GW;V>TF!ytfKZgij0#d5)S|C3Yzcq zzr5_o9S`)2TAW<^XgEeF#d`Qw9{RmfsiepSdI_pzMvQW**k` zUIYUx?izeV3t;)43zBv)i!`HJ(%5Avbznmml-c9xi_>$sWKl;D^$_JNMy1h+Wum2TmBve;H_FF)^9$aApcqfDd+1 z3yjqci9I5`Ulh%;ZLVh`enaQ zH4{9+9W<~087JBQiw=aLJH!}TwHHe&XM$%Y9TKz8zoyUujk-Vj>uDJ500@w4H~`vo zYgOL%RC>Aq`>47TM*#x3<=8L;8ayN8^Z?irq_CF>dR-HJ|ACIoiE}HaLI-B+`283B zQyo8-NJ0_6AodnU-#yBRQW#bBXw^1azUU}^!%BA)ca53@U-Zr!$L|85>?9TXUt1Gq zA7!wh8r9#rt7=om92-=vxs@vsk-0>QQm_~(Y)cp;f3u#PzjX!=MBSO~ogvK~|0QNc zo3FqSG%)+G(7>#&Jgw2AA@&|N|8_y7PUfb*i%`R7(Z*O2TAxONy~GJSmf8boB;*G0 z2WXmfubspz%q+i%_b_bM!lXbikfid@X-?W)}QT?F3w9X9V}KUhPqj*`ioOQ`H3C z>)A-P#^_M9z>xBhI$-N%2flg=jHuVHI{~~bPu3aLP$h2fnb%IVK46Mo&-;C@GtS2= zcTmk-lC55K+=5CTa|s za4~}lg%`xO^9S$jYeqb#JqFF)FHZ*u9cH%=)nueWlXm_sP6b!pcqxU9(Uws_n1es0yH{FfP zi(K9N&;W6rzBMjgG~Fuu)$OjF0Yg%s5GNbE;pa=&Qx9&U-!i_Iuo%4-k)Lv91dKz2N*I^*>&|AF)`+N;kH zvkhPf=@j*GlZq(lBsI=I)}C`wAOdkCXx4K+HrQ%MDoULmp|aNd>^lO)fF9ecluW|* zm+D_Z3luR@(BW9mxvhFH!tW8B&d1ohGj+#k&0X$w`UvuX^djo%S2^3@gz(Qv+SYrC z?>CiBG9f98>rYB7!#JS!i`l(C0-#ik+*aP<{vBR(>8}TQYdc0C!BGxL1J!Twqk@J*`i^tPa}`Ruvds3MlP zur{{e$ur1jsU3KDRRqn=0~cnHh1(`@lIXrlLe@&k;W zp885>832;^?NfZ65OR;ou(%E^>}1YhA%BpIFwy61fBqQEA6tTfibm}6Zrj)8dYt}L z{Yp!1pPVfdsI|HH?cMe#NkboFP|z|}E~}xHd+~5%m8M!=-r~d<$W+GEG(MM@j!_4k z)>~8iO=0zR+4!Ls&kK+l_qT?XilSb2NIVItxFuc#;OXA9Dt2?@U8zp}bu=F@AM8Qf z_z6#C=W=>F!0l9l(JN1ryF0Ft@}E`_lZwkKzbSWUwvOkl$8D^+WYU<<44PWVNdw@- zOYig;f-B2&?n|d`-cCmEqeh^!*F?P-14-4f_op4avKf3GXblIxp)!cV$`ugAFWuqv~PR?ZT_gmi}IbIAfpm`ng-v>xg?PK%8wz*5ULg(-Qu4YMJ~8y7K5(-?o}{ z2-wU4*p&0#e+wwUXhwxnt#8-#n$vEL7BCcZa=#lk)6X+yVEle;D~GWO8vG-2OD0j=qoc>`$xVsxNIAG?cBdYWpv zn@5#}l~0?$LbkCF@*rybv9sSZnx^M{OwZT}vQMOdz~a-{IWPy5##kaA5x9-w1Tm9D z#-AXE^RhQIp+tZxHHU0q%ORqc=U}4oEBL_uYDq+ub zr}<6#lpqXKe;Le?synRH;c{-WwtG+eogtB-m0ttkWX1DCo0BsWWDIB0Ety11Tlvwy z!7zoxC(Gm-UV}T`&wa}DN*^8E{h{H%>hJ$ZOe%>+vfLB2kjlBf8A&pMhZg(FVeStH zM=7+>1$v=44^fOQ$g%$TS$;+MsFThl?24dhq?K)Ik}`#I2i{h;$q8Ec2`g4rQ z|CGGX@sG*qd5xU$g5fKP0EyEev33bZK~BTxv_8|g_G9dRx)d)uML#W=$?Add4Tl+m zjv7VrKq95S2Mlx!NJ(`1oIcm`e|R@>Nk%J!Lu4bIA`?|)tULJI$A|?>8~JyE`f{=g z2MDfN+Gw_r{#)gyI4BOy&p8FgWaK|glt58zV`(^BFtmmi0(t8}D zTBn+&KeoYl2UXXdKELw%M)mw^VsSA|7Q?sj(~rl6_IHN4U-%X4&l%BhIMWs%lqHyG zzpc7{>9Km_PBOIneJs0c5ZF5icpDMl91m_d{M>q$rWjkFGM7BmiPwJ_?fIRV=lTF& zHqVQDe7eI}4z1cl$*cG`4DX;;S|@~cM@NLdQDWc6UEOnZt_+Ab)MY^D+R6c6o&86; z-#!V4Myhqp@`x>zm^%uHU;lv) zGegaNvdlQ?dK|VxskMJLn)x$u^PJoi2K+K#-MCzY$go<-%<%aDRza<+c==xZPB=9( zT^07;Yf#mIM}3m$o4ch)n}q!GR4VF4YWSO!MzKPXv{DO1K*mA?bu)umwG}&8X$y1A zx_2Z*;5VeZRSetrCotJqz2SWu z^G}Qv`-Zwfsu1U@{CLU1qX~>OSNgkg_IvdiE>DFs`y*&`B0%LrA};BwguL&8^Ut!u z{MZG+Z5ie5HF`+w)_cDtIAhiZ}CSa_Cod1DF_kQ~H@x8IR4%JWvhNk+K z8gSm4)0aH&r5dIQlfuhTo#$(P+^Z=W9vII(o2a<;_G*IjjajCCbgm;pmQn{Y z^X0S{@aEB5|Kz&$!dY<>%|Q);|{Bm`%b? ztMX^)jB$$CZDjG@I0)Y|XJ=gZrm~ZF6HN^Gi3O8gFG)5tyni{n(|hk`r%2=ktEOR_ zd-k*-jrJywDNG!5F*pG6zK}V7GbUo{m!gVt_=Up{vWMA?tSia->{OGtXV5FYt77>_8v_gEduzim|B_l03<>H%zuY*p@06l=rjKr{r69%0*3#Zv4HU(&LjZ#k z6T?XWBR>NZKf_Tk07##Uh2d}4AD}-M10xeN3o9Et2PYRDppg&2$iT$J$jrpT!c0en zA&TA)VCH8LIH7itRnW$h?PQ3MdQ3(kyR30-m$2;!K~Ce=-B=Dz5m7O5iBqS~$e&fv z)H<)Nb3ymgWfM~~bBil>H|!l8ot#~~ynTHA{2>ANLc{Kd!y+EUJ&aFCe3X=&`Q&L< zcFwcsxkbe#rLW4$Usu%CH#9aix3so(_w@Gl4}2K>I65{yF^Qd;p26XlmRG*7{#g4- z-1)Wpo3uyXKlnozeO3O0Ec*Lj(#21wi;YcK7I2BqUjLtq zk&%h<&&5pNAtn}PmOp#+XY*KDSlC#ZnOQmL`}pT#VddcDVCVev&k=o+KQsIpMgL=` zkNO+P|JK{lJe|1&k7fYeObm2pV&VsY05qC>Q8M6PTEPp(z+QwJVid%H^y|TS5_^`| zdTJgX0cLYd2TW-T6SwMU6Rl#O&(l1mz0v3P9na)HW!M~d{OEC#+5qxBlmZXXSO|Lq zys>TDebsDMnDNtu@ox&vO)Wqlz9jh4uV+OTDx0#I?o}rtOFXegm4+PD3OGYK#83t# z)L6qvktTL#NLPke!-Dtv&TC^I@RX+BvAUkvQ;8D06hx8G3B?V{^Gf&{fk>$;$?-?CG;?I<}FH85DkMX%$Uj81JOD zsn_MfeJGvbhu0GfT9TA++i1-Gy0R zlG|ixnryGG*}y`)%d13WHM08xBph1RC#MnM>IJQbGDjZ?#Lc8>LZ0=p`%vbu(NKJdBrniO%DwZDMZrKsbHHTf4I z(4MfDtnc~?evM#Qh)Was$tC4#6K9jQ*>ISYDVl!Phq>azr?A_`tDtw+^5Snyfc#N0 z4^ClIlp2vKNZy9^YF4aG=cKK>!zo?&cggRub;H9SvhiE9LtedzyTSebOw;8xiTYlL z2*fagn_Af^Mf<2*){9Iaz}nqMwzpqpgZXDo4}2(hr<7m4RFYeEn}1a#mn2rH-g~F) z?&^4$>Z~BiapV=b=2-3FWw`ig-utFe4|4AuIeF$3VW{IS`%3Qb=g|o|%O|8}SJFBi z6kr9sCFM2J1kp_Kgg-KKt^7OeXZ?*%K_CKACR) z52HxjqH+>|1LNfVW?ao?{Gl@Z7Wzgr+41lqT!tL4m0oSdoz6(Gn!%rrtudb?Mhf*@N;$3s0;szdJ2EHf$wif!D&Wd1are;5-K zth~it{g8i1QZUgiI!fH+?g20jeg+?8*E^${NHHm1*KJA(5q?z@6m+M~;?=MmhknSl zVybI{ZJ&%?T;1i{o(T{BLhR_Gc=YwJMUs73sI(~Ob$AO6v zJc?#xO25H87x6*Ycucqa!<_H}gZF}zJ7*zIj0KffRZd+z+e7rD@cWTqM6JYL^s!lT zdw(a8;M)#e(rO;97C6^t{~hD?YIY7Bu`lTErqt%vd>hLv(~?WWz2bd1d#G&!K)AvJ zX&mSRGIU95udfoB`t6+0lfDpGl|Ky)GozX2DbNHp)tqH@6MClTK^5BBJk`;oP29JPx`0IknZlNt+G zCEDT7BpR}MT!ebzrZsD&UR5u)p9@;fmNMK&Ms&X!=%q+^-QlaTrzE5n9v#d71y($7HPLIkRs%Y)e)eQAT;p2Z zaWB%fWB|p99Df909wIs>Ap?||>_%v(1DnwG4%MD`E6YBSgzj$3S$E?-o3C$HYMWZa z)b~X*A-pHDvQ`?|i9K=w#C=Aw zSfV?&>bLS0=irjGoa?Z(qGt}c^XF0{*6(b1*UaeBcLCgsAzVRmkg{25i_IK)yYsx3PI*}i*llJa>Dh;R| zKsByqaCIL6{BCpPv+Iw3tU@i3fCMvZz?uZO-!({ZX`uI=DwrHYn8D(#lN&?Fs;v6H z$3u&{%4RiXUVR8&%ssp9mE{}&z+d$8r&x2&Lu4`b4|l#bvW53cWsPVg#NVwk4FAlL;TAj z{#OV4Uwn5o`hR)8vH#2SjsNp}mBZoCpg8>zV_vH*QP4|(Q&B}v6y)xAy~waMtFY>q*FeV4% zhTX6)VZ}>q-8IbhXHsZ#Fwq|M^w&|97rA&V>V2aPq?3t3qNFr38(uO7Qbh45yS|>w zXTG!E)R%M+T;ti?-rSy`m*QO18q;sTbn|1+R5}kGuVi^ZQF6gdK3Y;Ja`v)k7ppyU zl&L=>RTxdJeuW&SqNp@5vG~yS>Jb2a2t1@z(l-|LvTW@+E+QZC9-h}Jps>~9rs4(Wxue&y0!7 zI`*IVjl|K73!8yB@N`bsVhp4Per5btNYRfKV*7E)l+?RTKOW@2xl=hPhdhtf&FND` zfdxK~%>~jxkjqHp8F3CJ2 zQ~eR=vmY)#>gr#`m3Tgh7B*tW)DCP!6(YV!OVXyWr<#ePeea9`ZTUsw`HEs+c&CH3 z@5-`Cq7xpcz5rBoP7{v+q>u4c3Rk8R#63X@Z@3b?;;F@B4ezwg zYn#SG^SBIDt>cYNpg{tC$FJzJ*aH9tfCAoS)m{*Tp%(e>Pz~Ig0L44xl$C$7x`*4J z(UM-4Wgz3r$achE`0cJBV`WPm8ufda_cAI;srOr0YA=$xspi>mC&2S34-#y0?fGmk?pr?8Cv!^YNM-q|M_Gjt(7fSa)i zhK56sMb+ce(=bK+;HBjrNpx+XtEtmW_KFWc;O4Ds3<^$6s1cu_9)n8q0?{uX?ng)& zXse0uxX5w@NYD={Twq2j7}vRcd8mKylFR_xa)zALMYi-TsuHai*${~sL9#1{1qGW6 z;#pBA*B%_mbPt_#EB#pGlXZ@Kob?!{#|Y>xK{EyUA0~~F;>e54SV^-Kt*Z>OH<2aU zHvIK#64%)@BSz}LF@@$gADqR^riXe%I*#-xS3 zj(MB*vIJA7{k|-7W`6F+>Ju?J8todnrW#Yffm|KM(x|HB>uf}%Tyw2dUE_xGY@~VX zfp;=Bi++w@vQTP64WQL6~Z0~FaDxI)YkKozp) zj-?22(Tu^;QTi`sj{wH41-!Fu@WBd{St5XsIxZ1iNjc=YnKbe^4SF#NfMCTFQ3-CwyvDAWr{v_oG~$h8Gs-l27t9? zboDD)1U7hC$+bDgF z0&-8cOXHh%T=kV{m5n#J+f^fP7njOq3)voDWM))+UBEkJO;#}LwM22yzD$1Ougk!- z5>0Da^UfdRa_N1Aw?EK+njG~EyX3fmB)l10Qr#3K%yzX^afKHsj|H@q1nv1WHi5Ms z9|7uyS5A=bflC$$j>G!?U`9h?Gwthm*Y3iy8EQ=H*BOdH?=0`keS-DdVY+Sgrf~TQ zbz65$TmK~3p|~gYm={~mPbK} zT8=jca?dwRei;}KdTy9%zyjZ+n`|BA_h~;( z&Si`>BT%veavFond3u!rO%sSx9UR_k?hH8sFwBF~7v3(Qj{rOng6hWfJhfUSggRV1 z7fhui_nJ*X*KtSj&>T6~0}nm|)VA9l0YQdtpDYwqNr!(JmuOmS5a?P8-Wz5vIGl?WqvOwlp2i=n`2by)~sO&Y> z@=OG68t2*(;8Y-vmzoleJaEXGJpy#eV3yAiS7|M4zysl-5Fo+PdS4ODj@)oz+dGW7}_XzvXlJn{Bl7o7W{)gn8#~jBj)*JzL zqtIzey z;t0SQ=iI7zJVeFO=-urnx1&xVu3p~#ZaA3VL*pHNp{KAopQ4 z0qNNK>B?pnfx7e}sx<0^7fSQk3ybMrRGHaf;a)Xs?%H9l^3c$mAAg!&WDaBIy9XXz z-Mn3b;H{yu4*u`PG2x0qktGlOv0B;AW+GVww1>Wtn(?iy!-ntvf zd>T^$6e2@SiDe1DhdE)%{RWoCFHc)W_J60ZafHN_tLhHxz=ijQ&cS9krAiuidvjS{ck{bxKj5bkTOU2L;($&jIjU2%$*N@@a@fb_w38Dy zu7=m;zs|}Y>ubJ7-n|})rK=2rB_jH-SRuorQH2ugXOcfJ@IQL#iuZ0!3@4YhdgGR5fEiqZu{VH2+f!H)qLciK zh%`oBj|oCZ`D4%h)TPcv&A}S>-n}Ef;a0-d+tBVaTdxP)TwhTtv0nH+MH4m03h(rfJg`edQ*~swI`fO z%23)i4GvASvI}jqUu2eh{j=iY@P4tL0+L$V$-}r3GK6?K z@L8u4MCAQIn7%TQA}10Uvl?q8{oszA4fezUx6wiS((1+`VULtdxRK9Cq@iUaZjV7` z;#)bwOWlMA^0*$G$tIYxxZN>{G|PZUCO8$OB^vWF?c=KnW{m*kIiS=L;ARa9%%ZYg zv$4xnljOl++pp|;sTtxf*gT`m>O-f0^H}Fn!M=76O`Dh#9lV^<{Y{6n@TkeJUl1Q@kMRh4fLo}46Z|rZ0J-Vh{jSZ&i>pxa1(6){?mHh2so(n zj@XPJNK(!n=v@${omdySdhY8yIbpGw*SPa0+mFCPx(%&I@gtavA0YI3mqdF*rY?rt)d zce?zjyr)gP34=W%t`vZP(>^S`HG7EhTML5UTT*OSm87__Uv^X!7kO~bGr{#n@YRO` z+(WMc=J*3LK7xTo5i;e?y# z9PFZC?6Mc=rfWXtH3K9thK6FZ-r^+`@GdbOYBa5rb3sxIF}d8{rUatT5DmIB&TueBH;+CK zQZp$s7K5}2`bO_IT{%4Hqz{SpIRXrp!pvyhGZigMEF77YPJ)Bpc ze{fo|x3v@iFh+w6;6OhjiZn>n9BF!0hH5m_Sz=~k$ zj+ip_W+`cs^@^@riXMNg_ zRuGYCnppH>N~XLgoOwu-gu})RWf7CWw~m{;aA5*+AV)ADU%rzt=VJY+K|IXT-(hme zt|4$dr0mOzZztK2u8r5V4QA>SZBQyTIdsLwEgs2R(CnhG`b2oMBfhJi_)1QrzK1j4}ZSo>IGKl-ZW^Up59 z?_OOI_i+tuYKQqaSOl#^K6Pds*1rpgOx$?4RFl*O?XyN50qRxzpc2+ZM<;^rkKE2A z{HHvBXLxqwJPYT|jBL(nw}YJ_49P5{FTp{0v{i$;n?x5!_&j0h!F%M>JfGi$*1o_jKpJ8e#a9 zhj)x^e5YaFk`D<|zO5qQ95*}2$N72IlskGymkIn`tk}EH-_4&L?*Nfa^kg?2)debM0{h!;D7$$ObKFB5$^yUMXjsP1y#8uK= z!gjLZg@AN& zc1%!e5(b|OW4`dFQ7(^Rd@)mFebNln3c4d(u+Xe|Kr1VopYumBjdz|3c;Jv&M1HWd z#dumbDE{erf<@G+j=P0zPc1dCYpzL}93M*pfb4b42Od!JsgNcLqm+qe9?zvd-CkX|c5`YK zUM$yG+pzb(OsKum9R^K?{pmvg?@LLy#uKy?OfLp04JUc#TwU`-5KgcTDm zK_oohMf&&z&wLmqX;uaazxEdG^nB^<22YP8xjXvzTS)&V@V*C5gFMJwl>xeY(V#$8 zsRau61l$v^`bY!j5G86HrHi);psm}rn2ZZdx$Oh}F_||)R5n;xp80_grgt&0W}J}# z-7Ed$nf{|O{JSvr&URA19??3cTC)<(MLA2X>2rQ_#{X5EY5tcp_19YkF0|&#+(18N zky~xLP?L!;fEzYZF$fO06ZJ8|5HSptL`cHV5WmFTEBTrGDd52^gU9K=$x(av@t6C=M-XsOmlqnR!{0up3x?%QCY4cU^L5Jl9ig?yA~M2Eb!e z^W(mqVdSJk7svy%6vLA+7PwUTx=UDM%vOhKv&6Lu(d!+l&Ps=i@qAC46y?*POfRmc zws%yc8B{9Xvui_4lmereCk2 z<^<(}axecy=KI;i3g;mK_M$Y)`rGQQDi$CGR0YNlIKz99?7V0_ow(?bR0!MapE2mq zx>wdy6^KyH0Z)Y41crqHS{piYLE297X?a(JEm9wyX@X0U`Dh=3lJFCBR}se>y}PN^ z;r!a4CQB|3FU}hlgB@7;Ze93&IqK@E#+6>rH-=yK&iazPpwlJE{`TlW$!NocCUPY{ zqEjyb$svjJODQ5WNJs<>;=j*Q(fWPe)Mv=%!R;57-VCu(8hyEwr3J8iE|Z~1o`8I{ z@y!Cr&Cw0-Bo);c$WJ;m4(2^Thnog{5t#0plmloVFCRRBFkdBM-?$g2@9<5m)iuaM zrDKVt=vtCBVRWw6^0<|pZQbeM_YC!jhrih$puA4O1+)JxtzG)D|$SdRb!)@g=v#GtH+mk*m= zw$i8>xIo4AC1iw`r(}m<=h~>$s9Qv;i2Q_W!kOJTJnv>{DNL~kEv%)79)o zeVK~Z!tf#{Mf0UbLfym7kwTC?iGEDUwEnhlrGHKhOPlE)7N}Qc@@dD3rN*U!yiN4| zzVl@gI<2^*oGM^-+*&_3Kh;*q7x3Y|d-hC0whZH)V+{I^xSWkYh7g7vL9nE8In&kq z?73n+Lr|^3k}-ytXKd;Z)T|9$k*pGu=*lLU{EW$L0F& z)Di)cwkzgEJchcbWIJ5uzW>cLO{xIAVC0o`>AaXXKb`(Adc@-9cI-kkxZ8Sa?>$&* z7nls3k9Rb(fs#Nl6_?}suC-1BexHTz|+$TEWRVJGjcxtc(t9Mcv?2m`U^qWBY3 zyB3mjzr_&sx~p;4Ne&0qS&51Iks+l#w*H~tUxv;S8Ra|M&))Zpk}$G=QzY-U?^*fl z6OGH~P?a1=LUyRg9OFCv4Bru?T17mir1{XD|L(*2mjbL9KbX|J9Gvt<2OBkoOpZI9 zVeQX8XIRF3zfA08-MG$H?zjS^2w$Rc011LLPRt@QK3|YLyo5|%;Qp=39?<+CA*%{z zil0#F$gA{iZfgo{OYl#0o>M&d=z+EIp^UAeFKG^~1}E5s%~K9>Om?DuHsTgmQ@>jEbX#6kAG> zl9rys4KxNJujih|*>)r=PsaLT z@NQV)FvlR@*y_jiE%6#qAH2KpO>^VMubCHQWlZB>j|H5#6F$^@Mf1LSntM7kmpgmR z|JKY2U&5#FreB#E)zdlV+VA7)?&@KG(F0N}aI!@io#E(Sj~_GzQ}_7+t&+fNM{s`% z6x`5~g7h|p*E>&jU1-2IjEefC4p!VwfSyA)xLm_sY-Z>jrN(Q4IB0`FPK?*^6sRlw z0X;m(1p)Fj^w$zSsw>K7REq*FE8}x@Y@A&_s`(Nv6gsCQ@FR?G;nBR4R}??cm?;jm zZU~oHF-}#M;OHX;gmhO;(tJ!h2dY*zu zBaWOpRhT#sN7!~kCzqipk)CxFq=Zi7xQzey4@2`iO`C)nn$C9x)P9-d(q}P&w7u*w~cmu%KurW_RNEEw(dr2NV6aQ)|{m(Q31%W7&FH zu99NCU+OHIn5j!~k3Xv=+`Mz~!gmZBMLtE((F%Y_mDChW5PDXW^q78}q>Ow+(guVz-oT=UgQ$KNg3t=Q-P+xZ|;SCHcpWI)lKb%@dDW>7>$L zWoDP)+j)r3u_J&TpE!?cS*H12s;h5lZB3Z@e!-_9Be*E@ zd@>AwPR|Qwre`kWH7j4h{p;2AcVCgFiebJO%zZ=u{yT}NHg$x@i~I|fcY1_7Y`VBZ z`UK?d?Zr6RjM#1(D7eTo#(#V17qyboZfRMNF2!7184tC|=-LxrMoS=sVJJK@4oASo z87ji+7xSyahBO>nCp9JYF1%4a(bSsm93%^q;UWOrXk#P|BG%*3 zj||V!D}RmvF&$DwybqA>MI~eV+Js9>4${+So0V!$jo&q1hQC*O)WZ#9%iT55 zxYRthp*icgvmLCwDKfSxa7m)0GM?vgg%GP^OGR|+=KJaydB*COS=A3u&U~5qHah41 zF!@}v1~#V8<)5lMj{c=`;;%z~|I^Bme=acipN{)?aQ~b={C9Bw)9?Q|r}94?_wV5T zT`k@p__JK{U#zd#9boYbn{>U(VoKQFcuubtn_YOnAb~gw=OJt3Yq(&ihx-JG!>m)%05WM2gfbnvlsNl8X{d zF3Oi6Cx+vOn4BgvhkFjP<`YuRmo1z4@ocVi2+U4fg&DlJgq_Lo&OJQ;$?GA@J@CDSl7wE^m3@f zO$O46@IDm>BrC9OSTChr8qkF%&{V|Wrwvx5HHYt{?>e^Yq9?VA@xW8aUyFalgr$@?wP>yoOY9sDw^s56acaBEn=fK1rWH@MfprB+w1j z%K=ed&UNp9)Kqr8x!uzLRExh)jPot`WfmE1HHCxv2I*Hbu^k0x2jfgGj zk`aYoh3i~*XM(;@+guy$OB-R@<~`fYU<9a^`s8&O@>-khvh-Z#`h6per3qq`W3=#-LY@c$=W^A8#NcX7!- z{fa#pe@x5_aFd^4hF|S^>ANK*qACpYx;$o3wG}GGB zyw0`^Os8*fcJ3CPJH#}>2@4J1TM0eyfdXs~Yv9ON$nLX&e*Uxc5}QUAbG z67G;|pVdLwe8MP0Xi(Q^4*{`aJi+NSE#jHp!0lwDs6Ji59yWm*=mGV!KMc8A2z@Do zk>Nrs4V5wFCHY|k z$J{1Mr5VOMPQ3OApdD{)Pjr2UAsRG4f~syQ3ooUbDGznj=4mR5CEqRVv@e~c*H=k2 zl^tqP^r$&zo2Ty67)Ifjkocrv6$+50Z!+bzRaNKfpnJGi5Mqg8zW4b_bNo*|n1gCY z?zw?Kg`NB8UUYqF*(16esTzxJn9En42x{bIg@Jkz{3x?SO{hpoZfSp>hMR@j{-k;O z(`W@s_38!L6CwNaOEqL!WURi8YNEcGA5qnOyi*2ZC{IqQnx2PMcJr-E_`fCkR!f&g z`W`$O0ziURnw$CDwz-+T&Fk21A_M&C)d`!HG>%V;Yj9aShT(^Y!8lwSXK;xW39R32 zeEA9$$o(KF+BpMSm@bnc8~-9E_)#sO0Kg`^O^&WwB*n{m$E8ii>YI4Cwk`0AeZnu_$M99CMyj4=r@>|5bb zLqqYrf)==N!aG!UzIR%nr(fwuVoI2A zW`x59@4Q6EeLXXJ-B)jAl7NRGJCGj{>BxMH%7?NT5UX6>PZg{x=X=%QL)YT|ynj zzb43DrCjyfam;f(jO&>_?rlTIOS_FxH{FPCliB=`brB5VI%qoiJ2-*#gk0Y@qf)yh zxt<2!*>pBFK?;%#)}{S(WVZ$I2%+DulYCll!2$W^4* zwgef}W2i^i?hU$koseDqW|n+^@{q>=&g7))^QFdY(Z>z4-p6v&k_3T|_t%GclIisj zP)krWSfC?t5h+SJMt&Yv2tfebC#!zvDZVoVZ=z0%Nvh3oq<(eP$A&nU&VNWg-|BcZ zh+&FpS0tIzIfh(()hU?M?*fW#tcgSOHMl!`>XitOhlk0$tItg5*m@0yga*;f=gkpzVkv$8@kpp`R$G(q2cZZvQ<~(?+ zo4NM&Sx_jc#ZOejf!iNs?mxWoA? zpCL5S7NXQ(uFfabtP)pCA?$EQUxTge;GTVq4EEO!a`6qQ;o_NK)S=^2Gvy{Y9kd^4 z1RP7i{XiTJ*h^H@z6N}tW*P#*TnzeDEpOWPobAZ{sjo|Ul91mg8U!+m}Fuh zrLw|ka{GK2`DHElN$t?21*w1&@n3o_ zo8>N>ajB>h5U6;S8jtaDKRMhZ;s9m~mB~Hzx%q)o-MqV%VVY8`@afTrQxF->?6;Sb zQ$=(hUoO0!1)?7?ggw<6Ray+NG4by^LqGZcW8HcG8y_6<6wrlP^9bO%&E?-ILD;4j z$N5<^|Hzd_MM@XGnwhn@lQCl{;VO60=*gC8TU7FZ_(xOaBF+LC;Wa}UdTFljf-vP0 zIfH233+B>%XV?Gj+~}AI(du(fj)&tTC0o`69aZJtBJoVkaCULtZ1?H&^#Vw4ByJ1b z0H!j60(t+=S}1a4&Zg)Qzz8)%4|(t@4ge{Q1-x@F&ybX;&qJ*);l5RR640i!aYAE{ z``cGy)0{rKi(KqoEDTOXiW}BY> zkS97fr{F+rFy#A#s4q`&d2C}1`!#QVpS3B=zJ(PP)EqNh6Mr->mpXed<^=%I7v@X? z{l62HJG=i6f9!u-F3k!l~u&;`#>{^{a}Gzq_i`|yOuHbh8?;ro0S988|_4g4#B1{M{gx&;VDgM*65h}7D zZ358nJ2d;#bspJ(1QW|fjJW@!EA4M^klpJ{|It(Ycetp3o#JMop)5V8l4#2jMh!O~ zIhmE*i_L+sKaR;1jpTlDug}@a^-AXVFC$udf?@Xrt%Jl~TF#la%>8KnBtO?GE93nj zndX69Tmw&c{~~2k(ICGdgATfx#X<&ksE`Qz>9*aLS!G@c4-Jw>sCHUZ`ad2K588>$h((eMlO^sYF$A0z-(ZH~Q7+d^PKJs98F9k|pPUPwNMpxIvxc6Kh)4CzY=lNW0rvqa4DH zHC5j!^0gIhn%XCs%*^gIY`E(H#TZ85YL=d9Tsm zu4xq^O=4Qx7!q?7MABOabsr_ z+Auv>I3we8y>~;SD@2S9vPeu7+#T2`4t|U12QgNouhxwi!`Q6THmbXy-TqJy=#DXd zeR;)Hh?^l=_d46i7_7c~^1uQ8W*G0zDJ8JEWa&EaF70M3V&%jDFE_4<#_Ap<525=IVhxRNF>OSV#2~LQ)h9ukIki>c0^VsEwYN3A`D31R z)zF`x*+dJigSat^_Ja z_FeTv`z(f2Vz0TG0Ol9gz@4Y7E1VPxH?i^=o51ob4Hwvge`J}u zXsRNH3fXqW)C#|7T95!O7N{F07D1YR!EFUx!7l0zZF6SV;GG!Nt%^8VH}@^?VPWPtB~ zbp0KW9zqxYmq}vM_seWd0LcHpfaUiv6f4ZSS2sJUYQ6LLNZ3o)tA}Q!sG*4p3+0@b z;>pmn&ji|+PKoh$l(C)cK$%dVV0?Lb$Y~TXZhLxRF*_dr3VH75`FxSOgyp8+@ck6A z+uN6+6>&42u|?76N(jS=D?}bliuXtZmU#KR?b=8HE6d^K`*r4-`iiI3W&M)RD}H@y zH+ACy_+f`ykx6w|F&Sw(x;S2QdR&XSx#_a&`g0?JA$g`_TY$NNC+UHOMbY}p@DpSg zePP@}A`@;k4uk`Y%GKpqjv(uAop%Y%uB&Pq;uf10Pc|R^~^}e_~G0|O-6UoJg&1`I!elm zPKybE^ZO7nF|Jrswt#s$&P&eZi-hK01RwpF>Y*a(cx$1V*3_qmUO_}%Zre_;?YLx} zAL3TxEPPb-R0f`Bs+PP0r7@AYY{`SAl>2S9`PPpnuMa>19~fsROnbJZ71*UdLT_H% zD%e>Qr}29%nE)c@(cRF5`{xmgW1IR+I6YV8P%q~sC0VYDomHxgm%u%x#P7okP?r@7 z^w1D~g18XdfCdB_G7-Mgi*N3?rDq78x2Yj%Ek6FB5~R~>$L1Tf9+wTZ^O!aPglJH7 z2vQ4aN;KIyy07{KcJPh2{$ka;BFPW8dTO%kz3#YK$n=N&YJUA1u1MH>|Bda5eNNXm te!^<}GUl<>gV00G==l#^Qlmjk_k7JRfW8?vPL2HKbDe*l^#mSG|1Z45D2@OC literal 0 HcmV?d00001 diff --git a/.pic/Other/Further readings/digitaldesign.png b/.pic/Other/Further readings/digitaldesign.png new file mode 100644 index 0000000000000000000000000000000000000000..cf132a3285229e566fefaa4918f1746d131b10aa GIT binary patch literal 119817 zcmeGDW0WP$wl)k`m(gY0w(V86?W!)@)rBrwUAAr8wr$(?TlYTuIcJaaJ>Q@A_nT|P zh?&=%*PIcNkt-wCni(oDD+UXV1q}oQ1S=s9Py_<{LGxX5Ai=+pHKkBTAfTUo=EB1A z62ig+@(#AY%&km-fW$)+)F9NAM$j^~6bb7EK=T7OL1T6E1Ez@}#Zj#SNCYStLH$B- zb(HNc41Zv^Qso|N0%=eix+0!?2?7K)2K-O>*j+#0rc%DrIbAP1n1PD3 z_QM7=iotvD5@f6(|}L?3L({25-gd}ONd zdHh<+9YNo}1ro?5kli`DttI3G1=8(7mbwKZ7NcQ?cgLN$}p|ARpPcZUV6GG+$_ zY?HnzH1e15Iw+7v!dOE7kL*QY_g{SUuolG+Lgy5_Fd{(3%!H2OXwxeTEEKAaEI;h=5XBji8e@75lj=oCn?uh^sx<~Vryt^W)<_ujr6<)*+x^{+PCZwaX$(kKOII70V6+| zdyy1jV1UAzFXRq57uCqVl!IE*JUR`!R>-)WvY)Qyg>kgT%@a>M2HJ~9B^@2LECiW; zd^;*svEQE_V&@Mm@DF5h1DPL6g5U^jPxBAm+#+%e+rUubAi(-n#^7Qk!@mL7SRl|n zTL@4Bm($Ha?o~kh!k=RZWzDPp%I>zEo&Yj8V0$)55RGeHDtuC`TQqn)VbN#!D{Xam zhD{oElaH@VeB&-gn&ALy%#&=f!q;q4#t;ngpQPZ()^=X&=ud-vI}7-#yf0yS=ixlm{`J`KpI>vhmR_ zA$Z$_5-TFK5>XH3R{6)b+lq4P^EedcM;XHS2VRe2EgCH1>p8i8WdH-EGv}5G1MTd^SWSill2Lgoz-|c!+L}#4#hz^2Yg+# zKO38*j60XRoV&c2ACDoU40q1_;Z@<$A(EDwGOFFi7wp~-af2gHmW`5?1seXO51U%T z4tnqMWuWukJA?qd)PsyP`y=U!Ms&@VjJj zAG-`Z#t-J_uUZJ%Zdf-E9|X|BKjH{5NIk|FUR>HoNDQ#!pmzd{6kuaLSk*tQz}LFz zs?l+PoVO`Bz$X2qvccAYp0-am|Ha&*X{lq_dRVWhM*|?G9*ktDU(xRRRy0Uby^4%b zY}nL2qt%k-;Ff=;Ua%H}9`y0;iDZXTnt8Q*a@=qx`y8)Vo{>C3I$^2?(ylGtxHrRn zNT(seLAm|VeT6aP#EGSeQIJO=xgmq0Ot;(SCEUbFkT3z%x!j)U$f211nEj;vk^Nr% zas8@>5H-;NqLbKjalU+Uq@;K;DzXal3&IQn9a87Nd@(A+gT~|z44k1fMCh?&!)+r& zBWfdZBOfTRVPFH{ND@0rg-VGEjY?P)Y7`mq-lb#XvQLM zPjq9@$w<#A=f+|Wd2lM3OB#(iyd`R-|D2VP0g5#?)r!@e?S#F7DUz9%X~XojJHq17 zAkm_6wS1<0X?@wV{6+fC;;s!11qMFcDZBygh-OnuQ-e&MuD-nPymq!>R~=PTMQfv} zP~%PGNL@`+uZeKgZP|F$s@}Xt#9GIC&U(zcYB|Tn#zm+t(xrkJl9)##;>9mAZD$$UR7_DIy**~xj_Vg1t0Hh!^~F)vJ%HNxY<_^jWN z%C+@U+7Zqrb5Hx~eRN<_WV?3cppkmRx%^CMNpy+c=F$eK39HG*)$z&r3HnJ3Zxv4g zPl{*qHxdsB&ygG6!~5~UVZxDf_gO(xhil=J%~j=$Y93f#Q}pFE#e97Mb6?;6t@IdDT?LSItf2|-Ok zdqHeJJn%H|A}}?Gr=aGbaY#-~Nk~{29Yl|Cqv4(Jr$mR*`p`Jm97-V;8ge7yxk9sb zGfBGv4VTOKvWn4_m^vVY=#)s1gxo=8-=CtaIK7yE5OGK(k{bVs#6$Uh{MeE4KADoS z&1iD~yq_+-1KkvtJkl~kEjkNB5A}}GPDvooP0Cgn6*TIHgM!Mu8s^pEtfBl`#_(Gkdx5e_14ser0MTkQm;gb_{l+y zyQTZo%jf&TyNz$Oe!;eRsod%4d?ia(y^8t9iT8=g39nG2o&U9psM+9Q#8XOa3O6%E zEmG~HNnBlAU35r2ASJi3L{tf;urchSe_*!}rz)M5v*RzrNR&2-tD=opCfoY3UZSRy zPi*7S+hNj?Pcj<+g}(1E-{S3Cr?r#tB7WMRbnn*SeE#ft_Jt$k?#yeZHNUJgBFAM@ zHaLc?CjB@w9EA@rQ^hipIIpINhH?``Y>&vtZPoRg^)LOR^n|=i=v1i_QMNRXJM8X= zJY($??hKCx&d_~mcapSeoM~vOKiUJD_IIoDymg+=x@YGLCdSqitu1Y-8S*A;B|eh+v0VpTug5% zwC;_`&P+Dy%(SJJu9ua%V4v%%Myg7cwLM9%&(*Tq*?L8KO)Ppvcon?$c%OWlc9DO1 z-w7=H4*KIkSi))GlyXS(Yb%D&%T`@fNL5*u$(7skG98K=`MdV*@Z)?gyU*{Ny)b4N zjYTO%({u3IkVxp_bD9}4YdCQN%L)A_MIAMZIATab^uChT{=9U<(By2+1pr`bnUwow{}-%r@2}mo>jWaiYmc$xqoLke#}OO zU{|p3*=%;Q-l(owe_m8_Yq;ueOmwaJ*j{?|e*A^Nf*-}h;wgV0JFN1uwy_>s1zDr# z4|8{_@?W}nx4+z*%7Dq7&Wz_}_10WCn0Kz{Zf-kR2{6r=8klhTK38EGPyk8qitrN9dUqngihg%2oB{I^F?7llpXTRNda{7?naVoKGeuDu_ z1>JR^V&6zB>?uB|Zf|wkkDW!_##&m>a(;n~jNsF44H@sscvK=D2rK*8oU(}Yf$gGP z4o7eFrzzT)lF{I==}#C6Z4X#ZZVBt}4Mn1fy2LLT86c`}84?KW2NDp-xAf!t1M~w6 z2<%@n5Rl{#?EjV(e^C6}1{eq^*c=G--!_`x^*@LBcll=jy9W6i1O)MYhV)$mvVs4P z^$(hCkpGr*zI8x?O2QHn-?fsFgNccaqnWK!-q8*9HvnZPuJOI+fkFPK`~XT##{dEX zUNTo!cT$&;<}$LirZX_MH8i1fv$p%k4-k(V*SBbG;$%SJW^HBT$mPaM^e+ppZ}}gX zo`~RICQg>TMCvl~1j4osCIoDB40H@ce9#001UwGLzqk|uqW`9UpYalzIXT&J(bK!S zy3)Ba)7d(h(lc^$a?&#}(K9j8ep}Ewy4yGzxY61;691Qz|KkT>;%MYxZs%lfYeVpl zUjsv1XD41FqJIMY_wirtG;uTkpGY>2|L)dz2kHOO&@<98(Es0PPUgS<|Iq%?{1@$C z{razPJpVZ3k~eoVu~G+^TYnGrchmS78CckO{uSr{NA*7~{V%GDqltsCt@St4iSK_d z*1yUBsr=u>f5p`JkC-g1EdLqvKUDug{ihRLiYAV>R?hznqKb{V6CV=~{r?UA-z<&) zq4BZ*AI^V*|C^xxe|f~j zMB{_zq5tnm%Ln}?(1p7>*)pwDSz!VZTdIC)rRZk45#Da z_;`vV*?Cey)lxSM9w-l_8-f`y!ZoWen}^Ij!M0$x{~y6_Vs$(sH-u|~X=8$I#Bwrb zWnE$3Z^LWI#9)wrI4qcuZlGl&KNFVZ;eo#azk{7W5I^97Wx#>)dMucP4_N2@zkz6d zcaDEN3dH&T5vxuemv@JK_$S1-d$|A4{r~&Hc}|m*vb3OHSh_1tiht+k$8 z7`^9yFV?bE=e2&rEnVRa+g7*W=<_7p+a07F_20N;ob(Z(PX+Cn-G`6<$)e&EF0P}b z<9|9@x=LWs&QH|4*+$R8SV~Q`k>xYoM5f!^#YRy^walh+8E8$g9ezzrvmRV}D><*M zvi3WRALo0A&Q@r%&W70$!p{r(y?!19hm(D-zP5`;x9K^ZD#Rv)zC)am8b#P@pj8yR zcL+g)N)6Fe(cokv|1jJdRnyV+J&p&hUvb^WpJQ&E5))GStM!L9>kC>>85GLAp`l=- zM;LL2W-%p(FrpuSE~ZeaH01Y45b*wlMwF^>D=}G1V2|Zon3a`oC)x-|83=m!H5MnRO^fF)uD2446j5vja&N8!0AGzdxcKy0-$ny}U#11hoL^$TlC zR3{oO4fV=1m{qN#r$!@MCMh%G(Fb<~tPq$w^Aja)D?Q?%=3@GwrjGL$i2MlH5OM|f zL(FFvd*uu=jUWI(U21Dfzy)mZ`ep#uyO%40Gwd7a7on{R=wg|#kMOH<|LKX@a!o!E zT=@KvB@xYnNKCxi%TI&KT5tfF_k1c-&y~$)!}$}+Ypy00CbY{0VqfO@uTLCD*uiy! z*+7l-pDsP<)VSY?vek>ric8jjH9Zgu zD+p(bHPmqCV?mLr_`ifS=n(t$tEuk2EsGyEBULf2qMghi)fB`H69Q6c4+IL7g8PNj z+(`1*KrMr@$7+EMD@JSgeR-UH+Bp5Td>w@Djx!z<27(?ZCXC(Zhdfpf3U|uDLoaQM zl^RqMR0&GHfBSmAl{M~spbhh$5Ll><)D9@_I!z7<_<$E0$`d0jG=bS`%|BW#*={7y zuUfnBQq5}W^tkzRU>zrRvf;+31-YGmmuK(IhUs?z6ra@wI?sjt7X(@KpfbyY+_YI8Z>TgZ zHyEtrh$MEGk0Ag}hnDCVuC(@gMGQBE{;zpSlLH%Q{g3K~PP@T3qg>#y1FEpHdFw!F ziXyy*zL5f^g5sw*|MC*({Gw(|yp#9J+-5EGlX6@|)w`;o18iTE9wYo-))lC-Qs~Et z7$3{E{QJinFEjj#yc~QB__vA4ML+irXQs&Jas3QG(+tnk;AnFTi_)1|S1zPV>)_q= z$l`THiw4mqE1OrE2f^G9ezMsT{(6}Q9*DKRn_`1bi{8oAUcS<=RXc?g*{qboi-tA+ zgpx<*t}Mwf`D6@T44$*!=zn1AxQ#T(=?B)WPs@uwuu!po_h<^*5obQ<5x>x=bA)H= zqaUKb<9Jqt!|>TZ_|da7p3Ai=M?&pn^GRf3r*lWx*^#6_E0|v>V}o|-V_8b?y_so( zo^=Ieg{a)LdZN&blGNBk3UVM4y9=mc3v^U1YezKHC1MHA5YuwHEL6PrzKKR ztp-agp`aUC3!p7q>{}uOV_n5WAtbmp@#aFEsX*q0LY~f5!a^OH8DuSnDJ`-x%Aw5k z^3A9je&!%~kQiFkJ0!M!MUve|Dz?7aSS55e)&nSURzRVI6uYm1LaLo;{49<2=}C83;hkyCif*(oMy|Mz0jIcgeJtR#qU#Tx%>q zthA72K&+dfUNZQI2ZM|bBbg~F{G>;teRGDJ*ZfLay)@nYyDl@F(mAXzuOW_0^oB9^ zNe+ALhlWDmV24uMm9}CV*#>E7?D=!-s@D$$n;d88y=4qHIY!)kNf8@pwCr|4FET+t zXF&4GQvoiPVq#63oBl10slMnz0vk%R-Omp0By7995kSt`R8vJ3i|9K8oWkr1#Wp!2 zaPk@{YWFb{U^}!Zekdy98H|E`w4ICyCsO99P|X4(a&FXe{b#-Wn!HiivL0)(Z&0yc z8%1u9uw!Rb&Ij;=o!^kd2KTEKopbGQF>_G5{*wh%Dg+G=HA)C6>BV#_%8aFG*Ol4u z^-*NDY$--6wDzSnJ$LkUE%V3A4?C~A<^Xd!Vj@*8=1KEPP0P-V$nqh6(UIu&7US!{ z0>XA%B$1wO=z#>g3)U(o*Up1zZU>gxAso@(CyxkWrC#Lt5w~OnKxg@Sb^OGBwCr`^Q=Romx`)f&l{mdWOzMAU<6FK{Y2s_ z2U=$lfn81?ktfG!z)x2x(!ZhDV;MD|m}>k16pOljT8!C#dmN1PWp>iz~n(1nfy#LG7hh#*BKiEkn6){80i<0`GJdR6|)Is?ZaD)++{A2G!X?AOJOP!D~k^*#sL=YPQ4SxeWT()h)gRboTH zXL3`rN353JN7xrp9j;%M)d~qC+uSo77r+c3>S9bJuPbt{VQVz|F_1R%>*_PeXLpf_ z{}XJi$`fHGqm{^KAedroQmo5TmdFo6wW2lBnxyNC1$2x8f--9P-mIU{!HNFafsL^C zx1|;J+(XwDa>2F zPbfrOt~?JN%6Bi#R5Jv`6~u&aHvOH3DgEoXBnRMumD8+=Mmmm{Ux3Hcllr{kp9zlW zb>8-h6^IQ(1beA$e7sPO%UZCql+hEghA7fw zp}QG!g&liyNPD$F$F(u&o7GIwdz(6Atf&WgcZE&tG0`G?CS0WQp76p(PgX*3R?%j3 zloaj&9f`gZo*z5djIsXeka_`XV;Y!kf?^rgF3ue4GQ)T!!i&rdNwN z0JZrNO1)#7BwoNB%g}|v=s!2}G9$Ge9xiln>-k(FA9cKfJXYzx*$;y#BZF3vY!OV> zIq~Nmx*uO0*#2^}c#>AsSvIy|V5<&Q(kCO*dB3>-Pzs=7e}o-!J_FLJe(F)noiWu9^#7y$c76Q%Ziy+fUD7x1-&44|sT1Q;_EH|q zGM|fITzHhG=VG#*{yjfU`eR|&NoA~pRFBT1K)quu!)2$B_)XpUuIjp zKH8~1jrubfz^16li>fM*LX*Y`p`cw-7V4DUn+C4CkArh52~sg)Vo0RcU)qp{(4oim zy5WSCi?kQ}(KDs0E8{{*R172jH6~7Se;LAQD#zl7A`7g>W>R?Kuv@}x=Y%D@VKC#Z zJgFWkE2kUc@&?b8PsL$Df~I{#QX2T4&a-1svdm%4$c4*^1U9CcXZ5HJfXnm3BsXS# z;P1d|N2Q#%75|DApHX;WTfh536jVr@>&U+E{Ybrv&$WI}D(Dp!0tHr2(a4_J3Pw zO11{Zfj`UF1p-zCMeRYKKwSj9x8*fSajEval6|{Koro?j+vW3qdODx*W;!`E2F;T( zEnOLXZ?yFAFmHfgG-rx*2<)d^*^I}%fJ0pDAk+d?vWC&jG36n`;>`8pb{C<${JN|47)(|Wc<6snqfIGs{)*b zCctZ-X!k~1#`|8^^y*YPnf$|cRBNP5xZ)$lN1^kpX-0^S1nm{Yby8YzaBcZQ&z*=+ zue49rM+P&54=CxW3Tr!H6(=|GEp6O>Dj+dqN;We$vNFVlY?T7{<2FI8&Z;YdL7D&c za0Z-Wz7gMrK_IYt5_q0W$T!kpqXG_*sH#u{+!9jK5M19g3%6#u2`xaa=M_aCgeQ6F zHQRbqMRIq~q$`JwU$hiZpu2?vrsZn%Khm~{v(~jpMS{ajIIrRJ6_XBJylyq?kJEo4 z8^R3Gvn$hYg#0SH9C5XlSHMv`+We?C=q)R+!xc%QKsuIQ(~eQpz$pOp#xx%BEsv*o z9{ULhZQISiZ6LC0!X<0cWasX=GHMYiPEQQI7POXes;nFlsy7Ar%(Er_dtE_9el7(?Sl(a(`!2tx9@XCx!5CeQ6PiBjNTw9L z^7m%mfUBd<7Lgb+%)U$1Zatg|ab> zbZHUI^CWxg0myzScB0&+y$^#psU$sr8A!V=2&@^P3<&pC^PL1Q$Kk@4NKh+5{b;7W zbkg$T#cNNOP+8Q^15R1fV(iZ4Q6@Am?KDUr*=AUR#Dd9DGaI6&Rif; z#Vw}ZD`?*-WK;uz@IYIz!;Lj%fWm}k)>)B%)F#AQFQC=AU{;J!rBSzqN~ItFvdMmz z))QtN+zK1g3Yh=-n)rNB)Ql#Y5y^o*pt2ONzOswqFK8VZD)0hdMoA;n#Ey8fuO3fM zxyo1~k2Qgg*)N$hcD^tm5|SM?a%%WH-BwJ_(;%Gvx*Mv~yW3uP`GzB6`+20Bk5Xmk5Rx2GZN&=Lb|qu~r4Z z&7%EeC_sxY)8bC_H1IG((RxThJN3G%Cb&?nIwVBf^e3Z;z_@A<;{uLZ2BQ;X1cN|! z(eQw85|dIMO~$}tw?ngxPKus!Yhh`MWsKdXx0%oQX7byi)AQ<_knS&NEa5(sRX~b! zhZDB!^reEl7_nb50Z}g07{NXTshCL|$Mq_{#9L|%!S421dO&Uqb!6O(OD7@P7^QYT zFJVSm-e=$|qn_!dq{0$mM=03AMY4W+5?A3r+I)E68;rVqINg=gnvP9|? z8;KB~b3m~y4mW{AN3^EQ(oZIAJGodf5`Rs(#)G(5i&dC%V4p5pSEj%TnBNS3I|OyD8MUJ3~l|!K=__=6!zfLXh+;NYWaxVyF4{(fMU$*SPv*EOHFz`FoXM z`7rhVBHKkci|=o;?BoFbL3iXCD>wH0w)cS5JwyS%ieAM(u6z#ydv2xdm7;D%orTzl zGczPhpk|8|wCtgj;MYy}be36fI2C4jqz9#ltUFW#z!l1|BsT8#PowV7j+f65P9gYu zLo-2xz-yK%%fIx1AGGx7g$A+p@{nme`i%J)9HB&7r-omg$sh!044wH5qp=Ojf8)3Y z(ljA-nBYkAWd%9c8=MMw#gaK-TIVf#KQs5HH8Ef2aaAS%Le|0gK;``Dny`SFOO;Ag zpIu4%5yD%cM>HzMhauL>7_e^e+v?c@Z55vbbfT@Pjt*LN2ZcF|{3GIl{M_H=4VGzt z$>n|Z$w$b|0fN|KLHTwNcO7D8{wfqkrBfe)M}mf`1slV4TGz~{8=?HgM)msP5l=a6 zP{X5X_=78lh&tLMmEzQ_)eu!i24ySV#Wn#&rLK7fL2e^;9BW;qpLWeIAb<^r^4Mv; zv#^^DIr_pLvlna;ck&gK{)8?N{RQU;wuxV?CWDCgiOGesSQ?20_c_t9^FGbwI@&Ba zjFK90%3k&~!^W^Ft1bN{oVmutI+M1&(Oi%>J3_+bcVlMTAZ>}9+pEVu|3}A*q|lx8qb$4ax(i|>e|H$_H?3A$w1Mf`h}wD=X1YIus+nz z19`3hNy(;mZ-TWF?Y5*7;%(SijnjMLZL={I>imY{nZTSx-u;1+X-;1UI&qE^_CBq; zNM#Ti7!`qh7FjbOMwJC^{B#;sWjze;Xi^z$0`_q8nnv@(B*5Bq?a^sXJ$|m*;p9xu zXd_(D-ZBO42CAm`nGt55q6{aGyp{7_%YbemfbR3 zUDt$gN@A0fE=$K+bg#KKb7t70()vk6RXUB*IC<@Avh0zG&#Y=Mo?7|M>+v#E*#fyF ztU?lM1FEI(*KKqU982Nd?T90igOn7uJnn(4+(O#AW-<^LTfxvaKe$?T9LiUwQ5}p- zW>%uJX>1cf9c>bT{LPIHkDB*qik*ARzeL}E{LbZ+!OATuqRGqk-ZN!xZg$K;L=1Dn z%9caiD0lS{P}%VST=D))uw)~0?1fk-IsWxpK4^8bX$+}~VV&OZtwqr5J7iivy8su_ zw1&t*fizZcDV&RXX=|MuUvLm&K3%`&&yfl$zp{Ex5$4CzKX-!;K?sFbdwk8@Wfn#T zh5A=f{mJuLdz}9kP1)obfib2`j}78&Hn=27qG&>;c||8dF@My<7CK=m17R_u|7b`L zai)g4RnLQloB>w;%mIg}JRJzBfMm7AE0*{zE?b_TMB%JRFV?dM%1k8u9XgDowXtw* zU`T&5`0G$I9gN@F`TcjJ$fPxXUCUWWJ}&}eZJx~PEPi3$g9&*atO(wmmN<SG! z7-vNVIUVJG@k`lRx(cU3?R*_CEXAj6UIYEuIs@?y;#_AGZK%Bw_A7Kk8$FC+o`O1mYc;ioC#tP`g*)oJi|MV3KfrD!wSVrG z+-fqA)ROmcp9og)9aW4fVr$0g*WZiyf1+S2p6`c0;KixzXlXwZ9_{y32+}EwF6aeyFoArzx1g z|JS``e}AcmLm(}y}KO{^rO*hoWfBr^E8J^;vt!d`MM$OpM1s*Ori zvP0_0;L5?dHjgglj=dy-Km5p*R~ZIRoP*|xcA?&HswWDL^+Hx8RRUn&Pw)V&pY{kV}?v0(e&lu)DAYbO;G&vMwM4=|dY4R< z2&ke4s2o!DUv@!o;kA&vPE#1#+fQqVTOv=0l#VW@h6Wr1I*2R40&uEanqJr4sL&ii zvi~IRCQro`e`N?O&$Z zejXJ3sMj|vxZ?Zn92KWI<*jRQCrr>KR&={j3YZV#tnU{`fJKG$=ctlJc0_D38pmee z+6gVIGd=3x9ljqC`q&LHrSAD7WNx5mB0IugG0vxoe0!=OyG7fY zqo3kG=$0{RLc?=(VYIK)!6# zhe;>&(22ez{!A%@dA4Qn1!}dJ(|Oi@NR}x)uOW0&+)LRjxt^2~YE@fW|12I>eUCWE zh^o-l5SVLC8R^+jRyGHwKvKyyhLyHDt5FT?9s)I-k>)D{!;Cn@7zdm6Th)P^;47=k z;vEMKLV?@p###&P&wwCNwI##=m>(n>C-}u*$_$#G1{FFuVQLdl@-P>rpK;1?V@m<5 z)1Wa9%$Wg$?zS_NZvv=7e8_~)75)>75f-jC1BsC&R1$DVGicN)=dIuW#Db~`x~qt7 z=m+@6X@SZduD>^06$wHK!EmEp4s+s-_bxDo}_4{Jc=+_7Z z!j@J~ijXoY?swrpUYv4i-9s{)XVV+CwBC0`igYT-cG*6x*XSP(VI7-Iwgk~nyG>DJ z2qh2<*{@97z5tpk(c>Tv_l%Uf#+_4NOP;qYT>MRDWVdy;qTk84 z4~IU8H-=12aGvn z3zhRH2w4?&Ra%{^`;an(sEc!tF-?<31d;LEXzOHkk&jf@n`GAd>kD#HXo{WJg{`BQ zPpwyh$h+G9H>DITw%Z-K{^mlPTJ4aWzmM7E>~l<(ueyWvX>f{T=P^=!1Iw0MjZPz= zRB)Yd1P*GfJ@nY4buq`4J4aDFS$(HjAQOQw1^&oaw@zI)kuoPBPpRFAOQ@aN_FIPn znYXRMKEP(aO^!m&qhhIDIRYW3_mELg5-rQ-0DchtfizWD2MLq5WO3F|{G{%T&g0R`}Em1vxom%fGzha&N>$?lA3u4I~)HlAV=b{L=88BUI;x zA%crhy<29Zoq1{YYNT`57SzF=okVM>JBgs)dmvm0Hy;-B??{Eb9Je!BvzZQ??CV|_ zD<;ov;JN~=*f?Aj{F6SE-xaBQ@~I^jdM^~vuMRZ>S4ngU{i+pSPM6(P#Wsz%fmQs#Ljshvb?Z_KtJiZ0fO@Wqi!h9tQ&La=J~ zbHl|6LJ~bytShqck|284z31C4=68D2YlbMl^7^_)5FN|Z)%?#Y40zx+R?zf{l8x4I z-J^fxc1dR?e1o@*g$v4qtD1+6 zR3+#G30kave-i|cFC)2Mty+YeFFb!3OxIOcSKyn+<0Jl|o6`mJoo4mW_GgAVzeZS~ zNf4!CtVeXyl?b{J~iSDaQt6*v)gI*rJPHQl~+C7Yfw_Rg9$%v0va58l+>gqtnl?!D^I z-xwaGEWaS-&*Pqpu&KCoeSp!j_|UNNKYrAqRS2Ts#@oEO5K<)>=W1;6nfahpg+2=9 z1$AHkW+_te->_W&0tRk%wY-3LjD5K#(T(QucO^fLip1#8YUFQCcf`=lzoT>86hh=d zdG9jIS37bcoUVsVba7n9U1FH?s(iB!ep$B(K8z)8@un6gNVaeBYG!=!WbTNs>*+Qe zKd!S`u>me)dK`vLPF9 z>MqhF_ z?qgFewTWSyllcS1&&&kwDR@0_viDqwCH!dMj}Y4?0%E#!*in{Wf2@3O4pd%tDM}TO zq!xM(jlyv7L#TWG9BF_m-%(Ua48X&<-DYI|BDIp6!Tx8bU9WG|#V*ffP)iP)r&G8I zq+uCckF0C$8sUXNVTNzYy{I?tCtP63>Nsv|h25_DIZ1l?7tNggRfFY++K@9$#hOps zxEwkL*PnVV9zc07lon^V^Uh&!ap%>l9dXrQ=ZaqT*6T@B4GhS~VsZ++-~uj^)6(ze z5EG!!pt5m-^d?Un<{q7HuNZ?nR%hO?P?Zt z072LWHsa4wERDWqpz)XSo*6)>j7+`lL0p=xJGrVSkL~UE3u<7>^{N<#qIXyui|spc zm3tyQPCZ)3-E};K9$;0)8}&rkr0pK6AQf$mNGBBlI3US8HjNbr`JA?w0EVZO-Lj zNUlS5b`wJALmIIsW_VUVjR9(JFo=T4{f2%8sCzn^T#@8rx!a@S*M%xgH9^PP5CmJ@ z1MT2)30ha>8(b~oN}1Z8-ccyulvhgNqO%z1NC&76#4}BNnN)S%8|p7tz7)jkEteet zocPBASO}Is#~~`*a8f3j8K{o-3hGe#6s+u59_8bNpn@n{>&J({;f{!#H2wO_u7Ta$ z!tidhpCEgbsL{v0$TEi`W^0xg#+5wr4cv(u110{?DvnDmAIeK-+`88zs;8#%B6Ral zv>AmKi>6fb=DyaCUIr$@M`z(GLQg5ClBFP{WeqeAKe7^X%2F^e5}*j)F$ zic0B3dZ{)5Q+-EiOqWS*4lH=20cI8E(lcNhQIa{z-v-6pBIm|Y9kg0CMN#%%<4tFn z(Z%yNg`3`Wn)8}JdgS1wT{-^r`mZJbA=&0w@?{ds9V8hlYz$rhR5L|{-q9^;p z;E0$UIUfmu^E%@(0HU;&T?<(mxa zasfE+Zd_6y+{weGv!rm8oZRI9Vr~&?G+iF&=J;*Na5Q~GK|KN(9U#xWA{}CuG*9V6 zU_`?HMKjR8S1GopHK^VWNSDzlV|=@&hQ!al>mQqVGB6>%iY50{ZGS~|z;lD0(fO@E zthKbF(wj1I{f_Lr=1`4kZl-Obey>x%3JgAyXVgsR@k8 zmzc=u&Q*)|420^a#?ZQ~8%P{`u@+)8wQ1%}>aRw(bZMUVzTh;D#evY79|eiO32u;) zmS-_$VWV;s!>uldetWikmKJcmxq+A|8cp^St`@PSnd|LjkcWm6ysXLtwtz%cq2o94 zuV;e79McS6%HdqC0+Hw$UL^8TRSp>}dnkt(&Lm`=%S6wMV@c{xUc-~23Ha6~BE)g8 z0|>wc=Ws|SSrC{3S4)E3B4xjwY71ofrvtcZjQR`2{m#Br@1GImb2x_k$WcTePZrLb+nhqk6SRzditC;KJW9i2o0}JoEEb&d`SF0;ArC>hYg9YQ`RX?ln9mA zi9pIGsJik--RllnT{4Xi0ogap>$-sAlhoh!BfC3aytpDJ9}>GI#$Df zP5B9Hh}u^R^Io7Ja=xA!4@2_%px$ctjRBE35fAKLmgz;WR8dkymuL$7h)+2^6P_2AC>^)d(`4}z2iyiMIt=E9V;L&J+;oCe8@N!615oA77ta0X zoXa@smDr0Q)?)BPvBeDZB$&}&!=dXbE7UW)$%NwkzI4gM-bsHObR{P9uT>VsT+eV` z1$ecvwfeXQVnw3lE=NQNK9s#a224ilA#a-sLK^ofDn4(jli5BcuJ*YPs&`R4)EwAv5Mcpouv zmc)@}V=ty-x+jOEMG;LEbRB1`0j_6JsSg9W9r;h+RaJXr05&%x?8JV691kqpa8fG(g$o7%W1qQuX);83aBT~Vr+01^ zk-MDvRoAD~U7Ct~CrPR?9yOso8f_Yq-LO4qd2kj z@iKfOdu+c^%(Iyt-?|v4_99v&MQWOk3l**GimKC5S*I1Ocm7W^MNk+8C&Yw0a7N=U zC2-fhg14wElyR-WAi9 z+r%hBHJhH3?76KrbfUE;K-FC|n-<;)aXxW)%d`5pW+z@k3qX@I8xj@(M65dA_PNGM z@od4i(dG)6n4LI&`%EbOVoFlmXV}LijauTAa-uH0G$Pf~(#eQCZltYibK73_P6t^;-ct3*NJNy-)P=&&mp`}Mdt(OZiVi>p283~0>=vl^vb^?(8$wS z-LVp5<3e!QVu*-BtLIWQvj*KM1|jRE_J0|iU>YGZ2H4eQmzBCQEn~z9TcAdx+Iokt zi**ut<%HMeoE-nYGZ!t-S7Vw?yRdRyhTPwLYOy!wbZrl>|A5f?+RwD!K+fvh3dS)r zN1YXQ>@mQ%CRCL>Ce-pK@zN&n(0O2IDLP})I3A1Cnqm~wXA7N5%u1YYIc3?W*EX57 zB%L+&`F{Y6Ky$w}lRDKl^fEznXGY-p^SEiT;&&MX}av=6p? zv5+q9mz#gN=5z^FMAzWF=opm?mLmP?K&$`yf?S+*WPoQYv=+){D zrMy1pKJ_(xsT8@WkLvT%zsxn3ORSXMc8y4QeGzouq;^?wl-<@xgT#tO3QyzPw?f+3qp|wxCn(M*BkRMTNa#C|GoFJA8m_8+?e9AWaa;_g3zO@5 zg|LK3RL;pj+P_buo~)JQTah?lQBg{+G#c%W9fbuiPG;^TY^KDJwr6kie^@+k6}^u8 z5y{`FBOM2-8YdCLa^jR+)Cq?b*OVyM)>&ZSY2+V<;F?tqkrL6-0643WUg}+)KJd4^ zB1d#fadzvpqJ-!-!noZVMHag#w}dMjxf>%8I?szz%m2J^y(iu^P(0lTb*6h(DEX-CzEMdW>EafySCnrJ8Q-!#i}LmuGJAo2aE~ zxg*QPpgK1n{*H8rx^^>4rq5PU!Jr<5vjlB(lq}40HY&uKnJ-8`!jsb+AAl6YM68^? zFcULYSJyK^LgAD}CB2EKb>QUIZvCfzj$s8Sy@-{+OE-ka$DrerM z+B9X+JGr>4TEiXf+%iOWPIWd$1Y?bbN?;npMdydbHICb4H5|8P`o zpo?&FFxr;q@@@kwWD_1&$OM5z#n&>%I0e3>C*xRVmoGYc>@Ak=h=`)Z9Bk*CZ^=D4 z%@88h6PP}3L{}6~%>cMkF8KQc82G_;W)dKug)RcslhdM9w*Ep(uPLWFM2{{g_+$q1 zSAPS4cRIq%Ecu%m5^RKMTxQo6SmVwPEM_@rkE_p9EvwW zZ!l9|$nB96Gq2E084Lk9Z4sPWL}0<`6EW~T7fuwb0szog4fTl;eYzq4y(!3DNAK7a zTf@q$(f6)%Y?pV%lH6MG3f4iw?eE?h1)t4C-c#Q?aO19QpHHsgg46;l?|RFDn=_!n zY}BF?iLp`8^#z{Ix!LpLv zvfANn!Z0s0xnm;xLU#kiGB$w^2eX?%Lw|b{xdM`1E}yv+8@cNz1+9i$6WLFeTCTl| z-kiyu9U@-OR6DJ&cd@iHl5*GAvIBB|oNwt(-@}#4N4=4_ki75al0)gt@@h|bwN;#1 z#ji`y?r-}d^;~XaFI$QlBG5uAr7Nf-G7(P%@V5lzi{Q{TCy^zG*zaBH#Uw(JfQH4? zUW0`E62W5V-dx-HgI~QG{ocG1{Xe}9gPy+v&G+t&s*KfUqM->O>|!p>+wi%V%6D0d%MH45hipd-#hw~&mop=B2s3e4;$V%)kt39nyI|=W zgPn1cnm-1orD%WRXr!HcumdNGG7HDMa0=uPg%ig>gtmq{&2SQo{x4pR7If%g{crA! zZ)o!1v%t+hg|(15ix2%iy4ta)6WnO&ZXrM%gLxWy2&Ahit3=m-9fi2Pdmt>eIX1uY z1(v<~r9*TC&_K|mxc9V?eGz-Wo~X(!Fk)3*REDU*1CY8`KT}tPWN~s9RTu}E|K%*R z2Mibf2BZXyZ7w%-!XgCsT*Oh-SJ0vy*@Zj1NyP8Be<93QoA{{iYb+1){2jQ#)^MUz*2GwbH={N3FL@DE&Fz634ZwZXp8l?yoao>W>A4cn31aj%U$#B zEG&EQJ!g^x$4PMFjwaALCdhQYi$>5By{~mM?1)!V_ZA@5zg~d)GFoCsX+bw>tTp-1U5_S?p_d zRskZZ8t6e=sIYu;f5Unsd+gK5`r=oIFsS_s8Uw;lR`wnLc?4m*##9BBix;EKn1gKx zR$Q3jgp=D7nzY=AeF1vTPa1w=HSDe5b0ww}&TOjX0laNWyq|?!(Z1W%#VZxe6bM0q8$gV4F?SuWa zNmuc67NYh^H!(<7`XW~Dw!bevDv;mN^EkOwkW-QoE=6jB`Uxe*TYcQkv&sZGazpJ7 z9gf`FKBk#SEb?Fb8MA)PK(i6uQNA{x<|8w?tJMWz^Our?+{YBe5^;5Epu+>_Vfn@X zLG~)CRcQ{u5^C`rA zl#Jv7U2Sv6I2m@khUy6I(uLE8sw%Gt(IbbLnT-|-7c6yamKwm|I6Qvo?yA)l&DfVZ$3#6<@SJbF%)nW)SHynP>DR9x+fvF zy;N?k;9R9R8Imvg3-TsTLe4|d^JrM9EamDRf9wI=GCt94thDv3m0CMHseANB*+W-Q zv3noW$7AzrpMi%bR6Lr|fYe7lSK*{@9e#%Gv%rF-D=Vc!8!}ucBC0GMOX+pbIhcLe z<(6#mMfaKcL|g8f$y7o?oJ!f$ncB-jC3O~>*iH}ZwGqO~>FW@EU|00Joqk zS+D#;UW1Y8Je+*BK3S#%8$yQpq~j>XdSEioxQ%CRj8l8GtJUX|tFh?XXHmA4+veG; zv4uvr%4@JIYmT08jkR4?XGe_s5EAE(hmT;2%aM8U<7|5cHtlTDeEpF?%s$j~a=-x14Ur zo}}|}75fsEN@vgGXa{h_N>D#3+AiFHsQo&jKXqL?k|NztDBQ|uyXC@N?F)r_pqN@; zm9(!arTIzqFOL0`+L1E2J4zn83QNzI5h^hIh$}Jpi-{Ch+Zu;!1+d^XG>)y_NUm~Y zCOSTGE&I_tz#+OL6H*n4dG-22G(UU*s%YP}@r}ubb4tlWLA1HcMwf@KMBDua8vN8( z>7`_v)92cgu{enm!sG9-M?3}b5OstMGSs!PFq~ycJL;_EY8)Sp|12R_0O>)@Hi&jU z8jLvVV-mWyb9OqkEb)IHZ;~t{tE-hZxgR+naa{ac%%dgQs$ z28X7&B}Pf-rE_JA$G?tV*PlYsu7z=DDo4T1pUeCr9I+Jr61%lGeTOBe=RLZVY%AKqNxT%X3bF=Tty!iF7j=#> zZ}u`2ugOCd#Taq8aXenrYDfD`i zGJ_iztX3uy6-A}Uoi7~W&M0A?AV;+vk5ZnaTtwYr&+AU6Vmo0QxQWx+Kud9h8@KzV zvlH=aCbgDKj1$Xd7|J)-nag{#(K*1lsA)*}HC zX$crK@dDF1*{+?7dn`leEoGxM`{a93k(Gh=_gsXIat1&K^w*oRuuBPa$4W$+|sYvE7WkehoZH-$K35}m%1pp}|(P?@SClM{j z{9r4TgZFY1_;%Qo+=gU*5v+CNUP45#&KU5_WoXVrpPFPUL&QiEM`h`EP*mAkELR^# zNon;;$G{-s;Ucuk&yF>oW(P(#j|V+DvJtxnMDL5LTs-zw{$#(OfSDhsFc?Y z>c8x!bkK2MbrmJB!KI#KqIJskBb=QHDX_r_=g)IF!u8|bitElW2OhUspI6@9i z<14tS?J`68-QY$XP}zc)IOxzxZ#OEaVeU8vIB4~C;o~1-!@Zw#e90L-a|7BRNK16n zzlHQgaCZsTN%x|1^=hPzI}<(6IK=8P__27wk4bq@J7m#Ny>8G;!ztM(QbR|a5@8hn zI(Sd6dOL*KEv7MjH+qrK1(w8}iju%?90#H9L@}dF4G<}d5I1D_8K>Fd#3-F6hq2E5 zuAv;j;c3rk!g!Tkce1K9C%;54hC2D3$ZbEjw#R{6XbK}ZoakossSdMDO?>H__!xvoXdXQ) zpY`9FFP2a3ced=Ea-0QVJ8&u$%r%}0PTO?rg@On~z5TBTNB%Il2`57E%^DW?2#%qC z3s4LqV!Dob!}xdM>(!R0C%T|Dxg*0x7nv;OwjU%l)?X1EBe)`z3^sQBcJb08Lq7s_ z3+{rqrDL4xbn)J8Uud?*{M=*+mVg*a6zT%i9gMp14rL*tYdc$IaKM5CY|q=|*d`*F zKJX^=cj$94V`v@0Naw-Q^L<0XnTYkjw^f`gV!d?+3fvxy>Z@}{?GZxPYHr=%^%crE zr`*~vw;?iCR{edg4-O(eA`-jW|Nn2e)z^0I5U!r|Gs39LtXjAT?XJDqOlY>@u4;jd zu&4P3O_v2w2Y*Aj%I(1+w3zzg9-5-*JiD?<(`oT8w$wx!U2(Fe&^VA?%-;Bt*P_lQ!H|YmEl}%`R2El z>gDqwunQ0%rzG8AW%O9Jc3m} zTy~3C=|AlD2~SzOTa2gIi9dlI)}L{ZMX2;A&&v_~tK#`FJ159pr{6P}BAG?ncD;xq z|2Jq?^m|s^1k3VZ_4^E($6@^jI5xC%(@^|xsNy$eaqEwyWpx}+;cv<>#P__)WEF3l z&?S2A``=V}olNq37tL#5{DE@)ycs~hclNkQo#J-upMps=?;F$Np)ru#c)`l9#qqYV zcWLZ*f3t>N0!PD%wL(Vmir;y&m*SZR-$5epFe>DoNHRv%yI3B~-9(r3N1uEE_C8=J z%C~35+;BC&`Hd!mh({$26j$;pm@Hmn@-DCI{q~Egc<$XhZIb8^vvaocH&+v!aK*ei z;meP|K_6aG(r-|A%$UL(F?kj5eus`QI2lLr+pF1aa*9~#8lo>g{u!-#j&P5?2Vlv< zm6-n1JnVni9%wEPI{~B8-KNujU`IR-YbSv#Yc3&Q3Ge^@=JP2i<|Tru^e33a`;Jn~ zqX{g2Gw<2b`P5MBFA;kanhle0SI^;Rs(>kFIq%MqL0E!XC02gyi~4)m%l}m^AcC{{u^H+g7+9XxX)u4 zL{5>$*lDcvFZS+GQ?qm@);BzR#E1er$YLS}d$d?jXgQ`IYXDZt zt2$J+p4CPtz0)5nhjokdbJIHR+5!dY9rGzz)A`|YiJ^HdLEu9oo(o&2OdtH>xLJv)P!(n<xpXocP=F8Df(w2}~wN2ML^t2@R3F zQ>lc9XA3BfX<{~S5oh&j1z(eugC{1wj@u_*hW#m)mGJJc@W9zKYZD7D=Urf`H$sjy z*gmyQX*8j#=)6Jqe(BkCE38<$up-_T>JnwOzd|<*u#8d?Y7vMdj@j3CB1M!HC*swi z6Wxfh{LoNJB4#=qf~g?Cz~In4ffwqr|Jun^ovM2?Z;cgvVo4AsW~)90l~=(#vQ#%e z9Ad&pS1+V*<#Wr9X$mYA#L)?#j3C!Naf*uX=Wg z_Y&SdtNi+12V9|`^PWQ>;E9AGz}Tfn%^S<$e1_)bB5p`4ozC4iKaGQjo`ZvioQ(;$ zy?`uwl-9)5C?!(JZO)}&TElOBB)RCW{=d zbawaL`izyWzQ6GJ`?&1v@%WjZWkmd@{Wu55j=G#gjW;K(--sJ7nuzN!n7{>~j4+r= zxZV#DRV^|L=4N2;K4)Mp7lD}A7<~2VFSz==`%E36vD4p2?|g?3-~7r5qJGbru^1Pf zen+tW58nLRh;0onZ` z=#`i^bp_5o?s{bM-gK2Q_51nAW8I`7Mr4*QS#BE#;wmaCoLg1JB}GQkJv|k}7oT}M zMjtU4Prfk@|GeZ#T=CEGBxuX+eIDWZ?gVssED^8u zybu5R$KExf@W8V-;K1XC;HJwa;=9kMbH^+izkD|fw_f=WF243OJo)-Kq<3q>w%sRi&{wcik>SWw_=Xto}fy+!+G=0ik z6cstnJj5w(*qB4YmxJ4{dmLX+{sk9Ya|&8h51F$*A3uKkt1T4ji#Q?*@J4XN{cPsu z%Fo}=H28```{>NKB5$XTn^{YC#lOWw=xg~0O@043H zhkA_m9aBvdP@2E~?Eu?B`r%8uEtiNA;NA4j5mBmamr1{G9Dg17{e-FOC) z=?J1X-Cr$Pkcm&;`yPAlI}qP~KFw0MPD{2)-lKOqIWCY3%|K^5g>b|$;LyMBjR8Y@ zlCbCC^Y^APZ3LE*xK8^V7?LgeebQ$(PMN0-l8{~ zPIP1q1`|!w|mfAi$cN1&CXq4d{!Oe z!X$>Z4|oDzx0ar3_*=Rh>0+e6GLenx-TK_$BCOhmm&u008_!NgM#f4cM7PAK(L;;? zRIv>8r?j}-MA*mfe+?I3a~d8P|Du&&S4dXcHLEt@*uU?O7IYwyPj54c++E#^uBjfM z@S*ibx~io3WZZk*i`ZD4i(b9EVZ_LR);__(ZJz_Ha)U`F{q-qcT$Dn05;+l(^0E?x zyW-Tp&@f}#JRE-XXf6=R)=znsQJ+DFV=Bv(@_{^Vos6bStvI~>(que1{w17$p&)>cvz)#bSl8Kc33>FWU3y14kf%F6@nf2b%Ey>tA8woiE^@m!60z-_5b{w#44Y zPD8*WSUU|WH=#2f>rP7dEm7V$rxsKwE9B67aw^?1X-+I4SD+vVn&dQhh zg*;Y^Ad2IVUPYpbg8e3^7UTl>UYuJT-JL7k`RJwSM0aCbC{lg-@h|8}S6~Vfg%(rH z(cj&VT!|sv0TaP;cOETK;6wAZRcZnj%wBFfrv&y_8xeDUU4lL7;ys?52R`<-CB0}r z@Zxpkz!H&5J(F}x;>5(oN#Ca+?nUiayG4K{E=oDCApKxZdY_K+MPf0pBvz0}r{EHw zt8O{RxWV=mEzdgt7<=y!q*&8mNgw8LT+XJ0xGS}-nocG~tZo{AJ`Oy5PrUc?*EXi& zu$slkS{;|sS7Lv1omw!Y8`R>Wv2%ln)s;7&jiXN7AG4<|B1#A{Uf2}~cm!(~pe59I z5x?%r?G~dITJL05pm5_w58|qEXWB}8`I!?ePNKsbFMNup9()tSM-DJ?PdicBS-Ips zHskxRe#OXr2U%SzD=OM=2ALvQvUxh@lmm@0-FV3a?0dj)ifi<&Om90aB3qisDIHe~ zEvX;7_YJFW=*YfmxJ|_AKP|x9FHbgImR?T%ajuC=Qr0Vc^6vMhhZ;G05S8Sw;jI@x z#Ss*4k2ecqx*STbNil>78#(V&#>>x3V^nn4kFE*6r2 zt;@~FWQthBM)pI$0X=cmd3WQU$F8EHJQ@?my=2WW!p|pn+zvi+q-_e^dE*mE<3b`) z*|<&=v+l1g?-KgBRCxc5uL%1?(TvvgUgp-p;A zat$0#eQK)1f_(Y}2$o|^CuMdcCr_x%@e2l7P{t$UOer=D{pxjh&+ zCSnyG9cA(20FOL=KigH5lD}8KE;b%5Vv_K|>)&Ag>WygExfKpLbWhtP*q3GqvMbXj zhxB72P%3Yay$6x7H@C6r*g4IV?f<^)ah!AfjaJV&7aorj&p6EVUz_N>_mb;Q$FZj# z>?}ewA?ZK7tBH)3*!$RN2<-NEy6-e3b~2$j2(8-M+INYRvWl~k9!6q{vsLYIG{w|k z70~0ZCb0|!CBDd3=iC$jP2<7AIOAVO*Yf*!_f1dHHU0WrIb!D_w%FpHYIrHlHkPJNC_x8=nW?~z^rGJrrNrXkpesK;m!I1L$ zNKVd5>Vq`dq|b^aAr>dZEM|%zomv}mcIvl+Cb9LO1i@8stJ6|ci+eodsOxd~aeL#` zF-MvXPiN~|QuH$}g)o)qCB=Up+g?hTHKWa!v*TEUdv1A#?I^Mh2QhZ^#cCp~Oh6{R z^&MXL;0_aEw@{arNBx*YM(K~-_Q)(kZIBo(g}k3*B;Ar00tGXx;5&(a>Yw1amg2iC z(zQd{u0twyZxp);YqeJ}6PF}7x(k>)HX`H_w>36eY%~rM(^DwQ3TISrFh9#t+q|&z z5b&V2^RTj%B1l3!O;91X8#FOB2^FVzLP{x!#fi0{&PhRpPH{@xsasp5@NAhTS6G-6 z=i`J;YuC#1JN!vV1V!5$%*6WxB3Fc`sMyAnw#rT0?#wqZabpuUKJ_Ue~TVjF-pVW~|H!C!3)ZI1{; z1UoANBBCK;q65f+hT5!mf{Xg8F_Uc?sAv~lG=4gY<&G;~nC(|+Uu%s`pneg2^+nva z+T#+d`tmEz3p<8@$6@UlM*npRA-aN)*A1GC;?Oi9Y^DTW39L- z`&!@i)ff7{p=WoZt4%KV=$55Cq3u_E=VT z0gqs97d(I5OBbQt3Fg++P`vy8kN$S*ugyLFw{@zI>(=HP$CH$t5G1HOTW&)zX()bY z%iU2rabaq^Xrvb!2LwEk@P9N8t}di*^>qnY-S>a=Z_`PIa2mfyeIhI!($h?w6IZmW zp&^(w6u+zGHKeh%d6t*3R6n2JHqZpOnk)j+~HO@lt?mS4lxe znXVGL?!;=SPNj>Ak#VLF+R;0SyD)vspAg9#f?E`o@ghbpK@OZcNY_L77j;l3YfXy=Y}GtNzfP&-y^%j_=y6^=4qI-9ix_iKT71i?}1P z)bew;bs^iJYkPDGK?{}}GYizW!uj*x|9XBZV4DT ztS9;p=|Q7HuE55tHw-%Ao_50K&Rl{!u74cweD$Cmj}fBkIEZc~a6>qFuMvvu=XhFm z??*#Nmm71_gnp}xFkd*^QI5;U+!gu?$t_aw$eY*OVcuO?>1!3TyZqp!7k6^WS-0Dn zK^g$+qV~L;K*`+sB|QiP!dsmd4jl&RIuwuD#$s%j$H^@F{3U4(Ku^O@009vG;2NH?pZLrT z7c zQ6-_8;@&Gye`Lh!TpkcU;<$Zz>7jGy`P^Ac%!2>KLoUOlA0OlO)XB!})>nsmB{;~& zP2r&zZn86o&vft~xn@2WH1ybOJtj z^Gmx`(`>LPc4$&bk0w#nonR_M$m`t8RJ%mgSw?Xr6xqa?NURdk)wBJvJOvq&>Oilh z*Q8;=s>iima$%`FYKJDFGZ7uscGD}5%8|~=T@;j8d7M7zAhJ`pmCt&2Cg=>LxTR+C zaah6gjJnV3?_-X_8N4#59mO{t_0c&*-J<%)OSf2&a_GRScNkpaEs;{!Ss#1qfygh~ zVDNVji*K(GSG|zP6AC(X-I9b=2by*Bss*)y!QC$Dppso<^!&J7Zq6{j&*5ZskM^iOG2mc0++ho88igYR1pcw2%d^wDHF zEQw{`d^W{Eed$f7;i5CfnLb2!C%G%pj>f^oc^#e5jg_;0U4SciUan*3HqbE-o8&A+ z&$@Bp%nOf(4jk+DOIca(louLetp4S!%QNv+=j}|{PlU?hrFJ@eylhdXS(6Xhvk!Xq z>1-D2B4Cpz{e->)x}gKls%c{De)c5`Rw8pnHV!&sPm2>`E|{HRt9}8Gh3vtD!@AB! zK+u)Ey0Uoo^hG#;9$FG;u`Xi!^=DH}AJo&Xr{UEvywq+AFPoDHrG5_It3T4a=$d2j zSWXds{CXzmnWF&L5tDvA*JzW7n-N=R0j|9BXD>4=eO-AYy`Tt$bbr$Mxp1s+Jap@W zj{dAzwwkws&b1_m95u=ok>cVKBZyj9L_7viTg&m}oE3RhOI zTF-XQ!5(`JG^=@?0@&FgPF^CUbX67Q^h^}UEBp~r5bbMXmw1LvWC9c7blrxe?P6-c*ox_ zb__LP+VIGWLO!oXv6XV`qUUB0eyg3a;VtZPw-+9N4_|*e%?RRcym?f{lOj6j9zPb@ z|Hs~W0N7R4`TzH3-n@D9-t^v*De0YFLkB^chy_>0!mhf1!QNd(MR8YMWR(>JyCOwF ziXtLSh=dv-q>-M@q;_u=WB1e z%%!AiZyj?1>l$a(0h|>s>4c&VNX!*5UUS8bM4q_Y4#%bE@rTyfCZd?CT=mm05ay=F zl9OT>?xz>LsQVSZ_`bVWG6A1-+lz8bogbb+!8bP%qZ8-|#1$#x!FwKa50N~gD7+Nx z_`1ex{_`gEQO~*hKlJH$I+q-s+rPgrXxKhKXurMw9Bc@zi1W_9*tu<|y%E1d72ShR z|G#hTuHkt4U7-H0FaL;Oj$gAm^XnX&|J)_tw%47t+{Jj8V*fwB_yb$GbhcANtOfk9 zxa`L!=X6O6U;ErO_L|4?w|wU z%9AVCyzGJKQ?YOV;Im|!@dlhvqg`dG7d=VEl6(>2Wr>^|R9c;9>sIZs>%M*mAeC=d zT=yB6j=(5Jq|JvNSpD!@1ng8T_w^?z1sona(ckP?ke$wS2RI_X909R(E#03>OO)GGol02x0ov4nV zTz$Ly{;PYgw6A{WBX;MCYi!}-IrjBW|G+UHF)5XgU3#H?`HD;Ul<9&KO4L+{7lG9$ zzjTp(=Vza@AK&pcyX4dFaQlZ+$Gr$vxqSp=VjD*iVn()OpX1vI%FFeD`|3za$!1u@Bu5<@o2grZp z`=7Ai(uWEgR1hjge3qM&*Am??g{T%2KJuWJ7*t-PWbmTstSuHu7#@2j`CzW(MHf8++Azf^tmkMFVN&}@17%Wg*l zN)uy$PZIw(tuFfdqD|{|IL4-JdO~}*RF#+$TXa$>VD;T?cXhw8ezAg`%`Nt?AA6e% z0i#Apq*FvpnLMn0X0x4k=CLjj=@hI-kqgc^?>M{t=KHLRfmz5vl!&TwF9{1IO8l1i zOz!(#k2sy0#3{v;`XoW=8fFn`6abRGO#@s>Cj4vAG0Gn}y@We=VW%z{2;+Zl867EP$K)g zT!mGsBxLS!Cm(KCUvYySb;2?yE(!2dWPm7}pvyn^eOt6-p0nrCKo|Rv@>V(y0nz>j zfB;rXeeI*rFPX4GfrVI_LeB{F1Y}ayYBIU=@mZj(^3H!gmoUP2x^vz1v)|iAAAFPj z`087UE7j`;q4sgdF)<{n9zq2f9x6!M?zt>y|y1nAV&H zb*?_y)iuuXY}Ul6+%eQ8R;|Pm5=k{VUEdK^LCkmed0h?ui+AxlUVlpcrD&#R6YgOq zmZ(30s>aX@N~-T({C6#4cu_IbezhY4v(dTyKGd;oUa!0BaNoFfLlVmEDgZkzq! z%3GY$Q3FUx4y2b_g!0;d8v8fO|1R*ziDtXtdaEAXZ0{nAu_9^C;5bdX4ix6fq^$C} zum6jiEShA><|}2fg6&D6?Xx_;e!WW1aNAAyxoY0|{&QGe%CPVL$1m(dpLwUf{=DNIV9BU);nG=l;rrj<;)H9m zSJjj`V@G9#Ip<9$x$70fR5^0_GN&KexxLkW*F+FYQI;Cjz4WlTgkG+2B8!0ed?Ilw zEVE*3sYoQPfC*_I74@~uNK}+D=bX2kR(t|1Zosw{9Quz~D zJ5HvIX!Uy?fd(%pDB!<+_)5nB-h1(R4(zqx(~qrp6ZQfot`-o)@Va4S%C@5i)tveD z9+Q~{GI~&{=pLypEC2MY{rtMy?P6lGD>hkoS04)sPdgBiQR?)`uH$x#{(dLwM~Xjg zbYJzLJnQ@uTm~SS)+}5$%Q}dmf7NBb_V(p|``5ed(D|n5uM*X+zwUPqtW=jkU4a6R zI{qLxHhxRwQ)L&IIH@*eWd~jGKRvL@O3LlbHy-C6qFStYe%&^gndyU{_$R0Q_th5a zS1IYGW{`fYepZc>45YIZbC;N`bNg*`jeP~YykY>$?@L)mt6cEzGu+cCzS$|B*C28!5~QL^zWE(zIKcAj@ZbHXjNWyF=dkK>>!aT^B@6H~oA~>_?;~%u zH@@vuC%%l6QcEI`+9I=xUlLhVPwqI>d~cSO;g*{96!wb-h**Qf6Di=o@X_!1n@Emu zf?e{NcQEiW?ZLaBu(w}ywgZVbV#P1T{h4n(-ks<4bB;sVz8>rTQ(av07;V4gU0-$e z9CXM+rvUfalYfnR%1Y!@KdFdWsI3w=^!cL~{k!{JFYo<-=i56J$&|^cNm*N4V?Vg! zcJwGebo(gsXafvL%<#IKFSGYwaH)$ouZ?G&f1=yR2j_e4{ImV&zi)8?0ht|c+w>O! zp&yf76X}bvWqEozt-N0LnX4V>&P%PX`m937_@943 zMAw#(^sumkgBTTXwp;q6%@~Q8+>lOG*i9US-yFOAq0LS#=n?$IO)juZ#pjt`x znJdwAJx@B?rAl3nnwGws~Bo-8EY(|$f{j7r>BJC&_T<%pw^9u8jM_Vdr- z0m3|<$ocLjyVXYkQWH{xNc;J#htu1kL8!Jmn;Gn0_AMHm+Sc1O;IiIGbgdwupPr^_ zm+}m1y9-)JyP9A|T6GiS(}34?YSmBRrimaI7F|nuWr>?4(rpL`1jGURaVa^xLi9{XSJtLW#6$ zzqU(^mwG`=QtASMklLkoN^hy(Jn?wX81)x5+{LF})Mkm<>Jx#c`r`8+`Y&7gzy`Z# z)puQc)qeGZGJ)%ww4Z*{{gp~U<3{604=jnD8XwxOF{VD&ef9NS)6adNe}2UQmS;h} zN0GU|4%SWLez+Uz50L%om^8>V*mUEyzlv_Arc@?+7k`jyBE-4r+C}^OMH9du5dLq| z-@SG)I5>WPMdy+YkDl22EWpveI11oi`2BapBikpBm!&f@1O}b9avN&~k#k($l zGWpl2=IeN!NA>GZSEP2h-_;IXtA1x^fB)%M;46`J9_^>LY2xY}niMJmojY#6-!a_# zpZ^essIK z?;4>h^nsg${&)9Ns$bj=?83WW-(JV2_GP$xli1%s)vx;MAD7y+yAS>EFST9UbUp!? z^kp)qSaR@O2gdszr~3B2PX8SKw&~wr{P?}EW%@Cy-!HEBruCVA_qXq_Zh!m!wz+oi zuP)c|ULQ{1XZmNqUcK+HZnqr(R%$lsyG}ji1LSNhuv;Gfmd7%u0sp@B`gQMHul7?> zANW18?>=sa1N`L`2UrJqf2Nx^tp^#us4nRpFn^tlck#gZ!e<7jvyC$|2rURyM$sur88Dct`HtaRR06V76)BM3UJ0 zY}UE5f>|~;Ic7bhJ%of3`xrlL|5wu7s;=rYAq-&oVybAKdxo)3WJZ3#3y%tLX zF#Pk2KB?Xy_2q_1#g!lqmi_@k;VfdF^RIh^>^K4;04bEoxoJaDq{K?{%iXa9BmFiw z)^B4mf+%JvR+txbFAZ9LFTXb5(}49Fy6xBiyU`NxzND!xhgJBp}jCX<36hQ-BwY&S$V{NJ{hy4UtI;X%!;FP3J6}2G} z%C^e93d;_KEjOot$e2C$>@&~X`VCvGudm1Ap-HQoTj?SeMDx9bBdSNNZhE_1h3S`9 zeGjAo>s5Y>eSML{uV_}(CgYP92QZYNAp&5P=auu@gaZ*lnf41h#v|qvBE0UX136!$ zQ+x(*e^MU_>Nhwkzhm7ou1UOaLI!Fq{>#;mHxvFSdIC zlR#|0aHQ7+AdwM>aV+`ppl4!ZV9^Uo06-I{B?E*Bc01@hq8-PpPK6RK%+0mFjxPJ` z7p}1Det4s+}Nl}(fYcE?91Q%fEASFSxK~%^Cql+ zY`~_d&(Dzaf<~l&cvbbl-dfLB)up|5FGeg#ASF?&G`GYGqXme55zB%V733fS@=u^N z0kcY=f669ydJyq03-%KPfHDD@%!~x=CzOJzz57zE25#fPN5{Rec;F_^1uX6P1FQnc zDFBO@@zA5}>}>1l?zK;R{NEi|o%QN=qEmFciefWedr?}Gpku_Z+hpEwuIb=Z#we?i*#OYb$NsK%`2~R zr`ox(4Utm78nXdb68F~+YL;|md7*r(Eu0Bk%yA;579P^&mD>Yp9o8%C-nq$%$X6IH zwu#s{z%&Nf?ETykE0S0^JU(Qlh=XxHqw3bL>`R_SObt4Q`}iEN;fYbei?mTG@N@g< zGyg;6T9p_%l`>0ESLqmiPXJf~GlAfX7r=r2e8s6sObpw=_<#dxnnHO=w4GjE`tt$O1ACA31Ef*uX6(NQ zH1R_jA)8TDXLThFR*_%jM4f%bLxGxB`vw54SYq6Xd=mA{B<5yI1G2TR^+quhHOZo|1yn#*94)lmP_8pm*q1A45|76wVOhg~k#t9% z*e8$)1q0OKWm*@UO-uy91J>W`M0W3?5P5TT_09XPQfmI4zq#PF;6Vp-F;J)rb+wkl*d+fpI zY~@4G*)z{|i?UsH=x^UbqAGsEPKrOhJMj?k93j2>^UrN{yH}N$*?8wh_xT5R z?X;5)F11q*ueL|l4cPkaWA^(MJ+`rB${u@q3mK2ctg5Qo?c2%f;^4&CUhK+U57#6s z`@%@BRTLDU+>f)5K+Urm6M%IOcQ{47Dw6p|4LUAa;3oiYl^6D;`#Jq`0Q5jwhjjql zgngSJ01Bd)(ZrixG$Ca@-aXRefGWt!UojIi%48dZO=ZHW{3G-(i}V2|`NY(?Q?@&w zKI+g;dD(KsfqU_Ncyf}-Dq!J^t^&O?$pN*&oqcxe%`5HRUp-{cu3JC7Irh%CoNDj5 z=yg^$uhFeI?zNHHJirnNcq~YSD?u9v`bX`aTmNWBocMaHtBBZXjV*THq4oBg2V3p+ zhZWjU3-axU58xJ*LJsM^l*xXAc1EI6cbe9oUdxS@IKWbq)m|lT6|)=$5aUxZ>+I;Z za5!xF`MG3K#@39c42}#r+byjwYVn{tzuL+%jSy>j1eY znwt`DRPwX)u`#NE9rZe&JFx&?-{P^XN$Vc!vf83@l;UO;q%q&t+W`P^J5zP29!g(!VJD+^~QM>j>Key|DeV_f;b)UE8ryYV-yu{8u{KV-JIp$}`fc61+pKAH5x<~wi%Ula_-Of21Whfb!8Fx!!r8V1QBWnpia;Du zRYj-F%F43Von7|K;~Q-Clbh^`hgVs1d#9a#+Of9$*hB2(6A!l|j#z5F<2`QC0I3*| ziURy)u3tice@V2&iH!ZDh?{QpRCVvU9(S_z%RjUS(t!03?fyL{*K&I(BMVWch*i9N z=g(JFP>HxUgzL;6_Y=pmc=~q^_hLMm>zGqXBw}rYA@`qIs^E!qlaTUPw3Sbn^u#WaV_qBfJ z3~S!iVFLpKR{aD3@n1|#P^3Q<)iXsELC)LNjngzGDrS)7kBQiCeshQ2bMGUjEBWxn@3gbtd7_n871`MMxYd+YINg@|O-8fID;z~p zFYkaT`mw&zKAUtasDArh)#;aiU=O4L>mS(pI<;d?u%d!+0e|^!vPfK!-bGHxH3e0+ zrDwZk1<*OArm)1GvDg%DHvLvzSb!4UtQ=9PX`mnDP{hL2OxK%SX9n@T8)G$1tV)yI z3627+P{7)#OE5M(8AGqdl%=xOt2P5xm#TSo8fuswNRnGp)U?*VSEKUT)nj zo6SjUfQE|N?)`DKR3n}^GBRd|FF6iKWPLC6~*&9YqA9uO~jtfb37s0RcHNEXZe zh*|=Op-Hx(pdJ~(K=a$bXP=~f@0zRan1dJE&%b!OJ#^cZmREbC70f-#N|zjuIYF*n z@x}MslEsVcf(zbkzrN`%+p%Sr(<7-q9WN!n6i3c--YNCtHa;b>Iy?uNP4xuIgZAP7dff8M8mt;Khg)xZ+>Tp*ux00jEQp-A@_}b|gVh7~ z|IwB$U1m4lc(Yynf%n<*Fu+Idy2j>|#q6@LeZxNYnNQfGk3V7m`PCaNn&6?JbBIAX zn=(bA;C{fga#%r?&DJ37RsfbR2Tl*98P-4WUO2ThlUgE(mfOWlB;tr&WjKdnxyl%T zRtn&Z00B0ebX))cKmbWZK~xS2T#LoBM$tWWu~Ju4kjn%&D@9D&H8ke2tZCOJhR{0} zvx=x{P#sd|E8;@Ja$#L;!kAL%V77JZF1z7Z_u(pYo*jPZq4r<@_Cd?Z$hYfysx5lg z78_|B<9PO+U2C@GIXm>cwf3erzR_;J`4)SA?G7gv3M5sT0Mc7|O#Q;-A03a`)@^Mz zr>4>|m)4zIt)jeypnmP{C~skbc5KrMpxIa7SY=^}WZw@#T+OS5LqF@P|LL zdw%zbz2~3LrIn7xrQYRB8MJZOX!~FX=g)Ax#9(!OTXkFDcI4fV8`&N$Fg8eWl`*-nC05$7jHjA zzNv(bpcGe>1<(3img-Z8gY+_c$t-1U@&3LES@C*|6Y z-#*K(xM;3j^}hLb)hUJc%?oNRw|A{I)YZ7tt$TX2jg4Z+>GVNT-g{;g2xnY3W)gtI zFo62Zigh-hXbdXdZQI#D%(bbdVm$iQwaBixrOA$5P;4K5y;*Kajg6v1Q>h`uObC6} z<15zM*4EATmCL`54Of9R|3P3i&kkNZm;Thy#@8{c$SUg3wcBt0sg3Tu-#+{Ce^nLs zZ^rG zox&UBaR4P~^~DVUP&JcOmf}J5N2As@(B{C#cRqG&@Ju-0HmW*54;Gc>%n*Fg@^o2o z?25GJ7Q?(DX(doCO@4nL@7!!fN7Fwhz?)=(N?#=4is5TFMH|GqFgIZPu9hAhVx=V| zwtYvF<;+2ggr4Vj&-B=BPj=YP|J-W7T-j#Vuh?n7UY%jz`stmx2nFoibI!8cFjW}r zA4w^~sf79yOnm(%F`JNC#mJbzVZbUXD{TVZ+Cb-8Iwz&~(jokT%akm8aMgfaesimx zaERIY$2MAXYlk*iZC!u+RMInxwUrewAHIOac)i~(UrDlpqx_#)h={{3QVYn%U*@AwFNVr z2(MUS>GJpQfiz(Ko%&ps)r#<0TUd*ZB!W?6g;nG#cpky%Q1G@5c3B_xRU#)Iposa% z;4=Y8v5FN;+6+X$>2pZa$+4`FNmv#Dga}rSnbLH7EdTN(X7)i)rZ98xK~d~3J2(Xp z3Lyko0qg3OGc-&7(Om1rgn%eDu0SksSk6GnpM`%O;a&1Ft){%lMptjP4Ez}vFInRD z>mzTx{Fy24r^IPT@3M63N0YD+KUN!T>zcPuMVuDAx^WRpT}n%}Q7?Ak)o!6>}00 z=ui8Nz=YV%3bTor;5NwmJ8lKAtidTUJ+ro6F(CFw>EDk69-CCh*v>ta_)`TTYw4Nr zY^10)Km>%L0<)5=F>7dSbjS7g4)M+u7iO{Y7YB4Pccl@01{6je!!j!<-3Yj1h;P6?mlnmn6jVVv&-Id zdadp38nZhdZnuWYur*W*bu(>l!=d&}+a?P~j`H^3umcfx)N%+_qw}}0%Bs~@nK<|l z2I>D44yOVet?I%`$8cpL*2}|1BBH=7UH*POkOr*3abL@fK>mK!g*9$k2IbS{bUX<+ z@n@CR*t+&@ZW(@@$R0yG#? zX3WYX0qYs}TxQ%(yl7g5=%Enxw_W%v`MIU$@h$tHhXa1?n82$=*ax04ynig4BNh&sCr=sUl<0^_#ZYJO1ecOgu8}7r(s8PCb1&028*Yn>_P|(y|I$`{cv+ zhs)>M9jo%}n%}ImV-^kcgiuv#3TBxpylny3@;kc|$oD#^KzKjtXgxN)0{2ocE( zTQ3Ux_Tgc~@@Zg2I}}SRmzZMpB~{cFccNlTU#s(ucqMv|_6(&9?S_Xb(kfYb>5+SNs|gEo%h*5%iAIgXOvc z1BziK0pJ9ReF0VmzJyb8*a|`WRDwh~jd3oAPrR^us_-TCV<_b5i4HyNFzfA=9?I(|-hWu?|LILIC$8yLZ+3?D~?Si9)*9lS#|^J2FAU;8bi zrqoux35V$H9BjY_J>42s{!RU2hI9-Bo|LZ`{dr z`CIpZM2D9CWO7>G# zxk}!kWDed$_a;^nmX+bG)-zcNEYHC{YZ?sb8YLEv$Ag$iFqyHQPoiIvjwu7<$Y=)2 zaksxi3wNkML?RJ}@HU@F3iE4ntpVS^M*ikiN39+JQ>o%yxjEivv9bgQ2(S_YELJAn zAAW$G3L{Vt@QPt);}ZlKRhgcGU-3NZ9HEyL3b@_q?tXO&u(sLKqBTB~Ykrhwb ziD#U`!AX1Yf!|xlK$h+35c`u^2(NBrPuh<@UvGDRdyXxiUu=(je}R4BoI0o1>hJBg zvhrf=#>`p=N8JkQblsm?A=jVA;u5Ui6?rv|4r~yy9fl@_yw_^>N|(Q952P8^-=Vh^ zzE?{3E!{h8VMPPV_n`YO(*-dntwz-rrrIz$z zKLBDhAZ2^D6PNrh$%F+7EEUM_bH8o3c_l%BLo5c6s?W2A5)@pN=I#MoFyDLjjSP%B zF;i?&fT#rnPDAtKHVHWN0}d($L{iw1zj1Dh!yrC|tfQ^j4nOQroR{%(?G(S)1whCl{1(ih-0!|2avSfFGJCWqFfv8oWdq5 zVFR)V9~`q8g@7CT3D_L#;9cKKa^luAmW|klcq2eytAMFvG=$O}CKs5n63o~Kr_hS0 ziuRGhe<+r19APVu{w!6rl7RQI>0^`Q%fjC(W}ft3c2YL(-rA${t7q)?e9i`#a2=Fia0bnSBSfwuyBNvW&j9 zyVd5Fl{>I<;vU0Y;Kdw9pOs@9x<&xF09NZ5c4jMf6$v8*ZYj``vOE)=Q!Pwta1smo zRAa=l#^QvZ0kkT!N39@p#3Gqde)D`2wVPUo_nZyJa;!Ls4kt+%8zxvVIf6wy#**2d z9fKHA1n@#irQHF0`1xMj+8(lvZ5~5vEC<*W9fG3v`2KdfPS+mZr4N%*-${7@@+3N} zewd?5es093#&CVn@tog>3t@@=3_YDe`l_p|5COfF%c`0(7n^GmzeklwBa%y8 z*Awn|_c1`922!hR($W%EKi$%#W#IP26{q^u<3j>E1ubmkKDcNT)ZN@a$YKQ^ z1k+Xzbxswv+ntJ&))&t}CmDq)k6K}(&=mC{bzyGb^vnOg2hxD`zwbi^EJK$6imfz+ z|Cw7s!nSJq6JMv%clii*kHUb^p)?d1@SCSl7Z?eY3c>-aL!rGL<+enb?fr3ESPGy3 zP>zXlBFD;@G0juHA-433|s6xtdcgnbS9BD3Z0X=63FHPTsokS_*y=gPdhaI z@vrOwPx0_q9-KbR>j6ERl_xI`C*``5I(+&{SPk0~5@vcod7zbHtwTe$izmITt7Wnv zrX`)0m{t|~DVZi{p2^Rz8MTpbMC$3heBD>vDkDRdgHNEFlblGg*~f6~?H!Lgg?c`^ zs%(_-K8FGB+&)+z5xq3q5{OiBObueNmT+tk1+zGhCOLTtiHP9v)cq2&MKvL-%g?l# z7>zETm5u%fHyO577KEL$U%O@EUgRbylQkh@QRpXDl#~}zH@l->QjvLrCob;|SlYG+Tzr%vOfrw>!UB3E z6;rFYEI-v&55%>AIWsTAigMITaT~6gE%2hxD`S9RMy2QfP&21>u7zs9mU%o0jb+-fz@=Nx;E(PVO~ zfdj+1fk^!G0LTL)F`k&!70NN$JEjvwde3MEIx4X&O;!)!lnf%69Ji|Q5P&4nMl4H; zcnnEndFag0QvpJ_0Po(e8Xfx~qUlh8Nd~Am(G4&OO$}Q~SZ%?#kQKaKRxj}bCW?bM zJ*Qw~EYQI|0=9PNgdI{9v9De--`2Iou+sO$v>Ze|=S#>%Iy)@DMfFP$B!>0WvzzUh z6Bj#1IEp<}Foz7zDVC)3s&5<+q!6rx)x=@!qg3+IDNSc9Za=q$7ymeY++B$l73eK3 zbJ~QlHeiP=tg-FH;8MxUk9s}E~{=x*dS@sB|1p_npIkDHTfkd z&;?riFIsKd+B=F%jKUj>C23zKH^&6AUurDCqTL;gQ!)Yi#&B(sJ(DI!90n)X7e(hR z%p7+fx@#0B49oJt^SuA&bB^Q22;#W zRr^K#tM6KUoP)n*X)a6_aawLs64llBF6jk{F1m-i@lEV;4>KL_u1z1(<*)96G+_PJ zUAOmvdh+k=YeDDH3CL)2$5FzT*-VTZr+5-O+}Zn(-M?+-Nj`$uBnIWV;%L%%I;#2t z0SHE%3GA~5BMz*ROjNNK-;PQKniZLv7~W*^#6I*VaH|Q#z93fFc`*`>!m7lsRG&a~ zA``JNkY@>OzG482>WQ%QuQEJ3W()E4Sv<#V#q-Fdh=Ez4rvt0`dG=B4nV#6(XFq%p zhh{AG^K)}-;i9FsY3&xQ$0ZIUy2%C$>f+vs<~cg*DbFh_%bY32SP$$HK-vpzIJf&w z7#bDn(Ym_4_*pqoh2R0O5Cv5xvF&mZNBKM*RP@;+Z8>(^${ve^GwsStYOTBQFdG?9 z*xKiwvxN)i0)U9b4wM4chzpRs1SFa_p)2p7P^_}O>(x13-Lzp2?m=Z-vx|kF0xFq5 z_|Z0+ds~R4(FNEmMw#xvGWUcyetJt)W4ioLdLRv0|C8RDw(tG`NRww91GTxY9q^ED zDrPf_i>$s7qfl=KO{>8h`~n#T*xTHTof1G4fLRH&v_d7&D$OBNOvH0x>79UW3`b!z z660V@{5e}E=5|gTg)*WaM=~`8SpZTX+Xga901OO6$J#BEdbPzX=$H^=M?-9v$R?X9 z{vt}>MH$1s>CpMrcJF;=x33+sg=M(OY?~n5OP+oF+&X)5Q=eUV%XTZOsI|8q9JjLk zY^$F$*PdNNHXRtFB1yPK}E&HWJodk-1iYq?H| zC2#3!rXE-=qM@=}>%9tdhr*mfKv3&k`>WYML;C%tdLRv0FV#CQvQ1BUMLO`O{`B1x zK4xcsr)}?Pcc3BgsVTrJ9M=^u=F{}Oy`d{tol)lUmOcauCb$Q7o~}wt_?qZ|6=Zqr zq-{KpmB?%)5I{>TOJD#qlIT?&Ys1``{JGYz!ly-3-?Z&yZB&0 z1Tf#U)s8;uAeU%@izeQ>$GG+q2>}wn0)dq$ekHA@D2VZ_*&Dy~oYgfp!tA_$Y@A(f z0V0c*hDNP441)w{`o=SC=MaoEwc?tpaQ7RR0uu{ac`mkb^uIGRp%1+W45laCi%p%o ztZQ@tHWg$cVv1GYT+{<~m^`UM?b!Q+xz7|r+U37w)1S;-=_id<@B3#QWD)dlOaV^|k?+RSOp#vJaeI zi{377m)$~ZS#2zmGc?87Nl|y4tkMot#oo|b4W+Ey!QK$F`)jNfkZe^@Qz2alMIzpK*Z>Pw)yR{%qos`_kc_BUFo4@{`s% z7Q~Dp%)Aj8d5U$da)lCORvYSe1AjD`Z5^>vW;cMqgcoQ@Pb3hFjJ6;ik`dW4DJlgx zMskR|m6>OmgJirJCz=A=+!=LJ+C331cg#-qNMS-ItH{|nla;P-yl19;_^c}B9^)F! zR%`&dB$9DGVN4*_GKo|ctq5@%XN88NL`eN-uwC=KYT6ky?=i7iC1ztuWgy{tPG6{Qv@sjP})RJtC0 zPW|b#u10{UQp5huEr2bhsv?*EM8}kva2EL62Mf^?!hN_%iG8Y1f6{S*x7LskxaCSr z7ekY13s`Yo(mRgP#tof4*sOU8E#&;&P*i4(r4{aeNw+1VVFy?QVN^J57wLg}lP>?W z9!LY$%j_>PryycY6l$-hu%?A_+RZO-WSL*O5UmpJfglZbSw{DcO%REJWDz{Y#hS{a z0h?3o86j%8?m5DnXaX%6g0>E09-t_lOn52TW5j03P4-zupw~^v9vLenVKgwK7`6sZ zr=^L8zAArchh{MQX#$Exg>5uw4 zWpN%^fX40d=LOP;!zkEu^a0FT-!f#|Iz7dIX<3 zEiP+viu6yu{VJEP%imq3oL9-uFR+&8o$m9ZGL-D`A;3Oq&7+u3#K>?BQ_3QGhICsY z-m`Jf(dULj#lym0%`xhf&Wd{(#QtrFn~|89?zzlNv~noG z3d`IfL~_?~9^=Ma^>htNzr3s-NC^NhYv0%OPI6$*%Z@VWLQFF3n;3w4+U60aWMRcj z+tJ&O1v{4F&aA-$A59toz!3VO4PB!)w}hu_FpgoVr>EM~>t%PAxZuw(y=$eZc_hz* zOwhuNJ{SU%nZd7#UmS0>Q7O||-RsP(v}%;?88IRx$UH&%EZkp01=wp^*ks1sd>0wA=xr?B4NBQ(9=bFi72tfS4>O z>fK+h5_+qf3csAqLqz!y$7ADOh3VIq)dOk3dKulMW$8kc(@_9RqMn|ViV&(Nr;V}&+?3#9L)WCug%sry@v|r#vw1MBO?^rT>K3ndgO-}4 zq!dl#az(*qCduj_$7@nbUsaaaf!=8@4$NWe3D;Rk{~EwWn8wrrCG}vB^lY~AY(PW) zejFEP!c7#`TXxf<7V2rXSnXnd_by)ToFZv!ux-6#8Ff{KcH4cc-8Gh$*I1ypnLP-x z!bMHOo;q+FTD@)1mNm+{+pA=pC=(Tx6|TbJQ8_?MBm^w6vR7}!u*2%=>N6)aGGRAw zYjyjcH$T@-e@m_X_@P$2Z`F|f@Z#C%^0MrcKYZSz_zL<~?ntB->Te+zW6Yl3*kV-+ zk8*5psAD_zuzJY({334YF)Wx3B3MsvpPhH!8yo=N|GGP*sT|+sbrEt~g_(rgy!);1Mr!GMGH@n13Y%3Lj zz{Jurp>N=oDp?+A-9QCH;^CR98v*!B~W|=OHU|iZJ#JCmd+aFPZ|hqE4x+ zL9KmNWHqhTzNV>it2Z2PW5Y~1u_#y#7U`w&?ba5ZgF>AM3*i|S?cc-^*!h55acs71 zxW{t(cG_^+97qoBLyt01SZ$ddC6?K>#WG9lEd~GwK(~OvB^P~B7=Rq=wu%ybgD4WE z@(PM=pi9gMcEB~e-->oZ{E>rN1k{h zEdDhS}bxpQr7%+t3mZOA3neW5ic%4ti`Hm%uapL+W>)-t%& zPCV&&yXZadF(rAGE!r)&{K|gvi(lJ%oWJk5`CY`5FSIt)W=;5Tt2^|JsEB4-eUTUX zT1KYh@XOE-vhK^dv7bCMZ7bBJ6--~Js={GE-%po(n=^%ga%@+7#8{} zEl-PG`7=YSanhk^sk=CmZHvnbNT0>Z8k5hP;vNtQkn~L6*hg%3Y<;AN&(EH)xrKyT zL3b6`08c@dj+E6j=A_naGZ3j1Uy5)rFsvAW6dG-}qLCJABeOI5sR_&%5=4T~Dx0&Z zf^~#CH?gWlLC1ucvtx&+1Z)kgx>xyX+0THDz4?06pI`=|cPvTQL zPZrng4Hp5G9HKn{^N?YH`0q+=s-i>`@*k9OYaGx+n}=fCtY!5&tEyJEoC({c&$T7i zGc;-K1C!XVNzcTh!%&Rx()W2fr*U*dD%qnwEG$eCRn*%yXI33Lw=o;(=^#hp-nt<7 zkVqj`CJalZrnYvs#e7wLjdjCJGXS$TnAK+&&mDAK(WtJB>T*z)d}Ct^ImX{>0B z?CS5b=R0>G1}gqmnk(qO{7*|7u>L}iN%1_v0Nc^q#AITkGg&=dgzT_9U6emK^ToI#H?<=e>9?fG1Fs83X{tyb*w9w{3Li_GTEaJjJyZ%c-zH+w+zo zlK}rI&jgPbk=zs=T@eu=RFt5hut<6&zT^OUT)S9>^g@EE>5B~g{e4)ad#if`L^ntP zSZ;+7@h8br{JdSe+yj3Ekk{?EyuM#G+Pn;Fe zu2hnY`k6DVrM1hzEJEmbix_T4UL0V#FWeEy#}xS_Zri@yeGbi-Yg1$}N?;uOn_oVN zyUu3&@>joR7ryx{TXn}3cJ{gF+2=p^S-bbUZ?QXX`iWiewzpdqqWq^m|DZj+g~$ys zMy)2!DT-mP0y_niJh!S8Sh>fNl=EIV>~Vm0Q)ep{^qsEH6n0r+t6#h;_rImzU#bWE z`SViyyr#ElWk?fKz|}&6%}pI!312+oVnj*LB*9I8-jfv&W2H$^HuY3(C?Xzsp(Zy9 zdd8;@T6&k#b{noXyN2Y8M#y6#el}*e6L?QHOZ$Qr?k}0S)|~@80zG8H;2euNZEn|E zOce%gJcpE9rSm;|Dkf6`wim~`JkYh(vih;j64T&X5-bwLD%nq~gzLnCSgVbtT?6@N%S_O z3Ohk5fW4FwiQ;_+0RUume$!#4tePo{wcE@jr|l_yl+H1j0xSiQn1ZG?cY0!7eqO#c zH#Hfgcdw)zUM;-3X0b9!CY-ABQp+QH=(=_5-R7dQBJLmh!}UAu$JhSK&OH4@d&Ajh z*^jRLigj)JsRia7Vu7kzR=MaL`^CR~3$e7%KK7ZfbC+AKy``6hlYlKKCPse_KA1dk zd{!m3fLO85v;@38n6|9N7qS;oP`XbQugBa+*M~BT5xDswp}j5X*ZuWC8nE`)E2-Zq z@+vSCgpKe|kr!n2H-v-mx{e*TtFPOc3<#JsKsDeb3P{ZJ#RG|v6}bspSi-WsCYhw3QF6cE`N$0~g!s zHEZp$8-8VZ6=zy<^Hxi?Ve&xo5X|jJBeu%k<%>kd{$!lE)^evzy^8?ISwqg4wnXP^tv8sRuWCvn*csR=)mZw1!QmEThQQ|S{aDWf@_uF`AFK4hQjy)B z%wuYcY8YRo)Q7&3>z=^WqIV3VT_-kr`=(#_^Z?JTblJBDGLV7f`=uhrlnp~@gn2Bi zV6bDeB>Nc+wn5xc)^>JT>wpG1PgZnL%r-#6d*bS|{LLidWD)afPSG#{;>KVo9(U5K zz(}CgLj=&BaTrn}%YsvbRy9V#PpsSHZh72)GQ-fQbFiq<#`Ej($3s^{-Eje1`+Cd9 zCMix<;>j7yIJMkjc+#V!Pq5v#KWl*zG)EFkB>q*_St43v@vYBcd5~gXOrm5Y&!RIM z4V8^OyQRrlsE1MNqj7Q*GDO7BDA5mQloRiqUzwwnToSO7+Yo?}a@#g;AyUmK6P}QS z5?=xS5dci32$67{aKkDyBMd*;rV+P3KjOL1H~^5CRn_QVTFG4Xo7m_8`mWBdURz3* zX_;5FY`qtKQq+oaF{(wcROC{71u)tSS#2@bjPtZgeSJNF1H0Vkx>+^O9&5{nX7~M~ z_grWN8N;^jw!3ltsiMsSA+PA((A_C!>HQ{qH@Euf9wgdV_uL8nJRai2;g8$;ZlZ6X zyp#=|f9G_x`YP^#HGu(fzAfPzYsdf|Q6#Jc86ve$RTBrv%FcA_f^x;xdPa5}V2r&piaBPJEtYf9? z^6Zt!#1bU}C~EYk5uA>(O>(Kc7@)Y*qKL-VFr(2bl3Oe|(Qg%#>#ZYqzKvsrp2;Lm zI&@$tGq8s$=3DMK;aRXvN??zbOky9l<0*?{K|Kk$TK_`U-k$|5JYY!@Ki#&kg>D?p zN+5MfLEdiQTPhX;)<3(=eLr;BVjF0Ap176g8FAPrd4xm88oCUA zp1u+Ts!35*oI~1nLL7HuBJvybg%<0Kmg`7QhSg^w9-c? zlLU(GB>J`BmM1K+_+*=^TWrDJHcLq1&NT_ttZB6cD(acR%yd92qox5RJFIT=YRfJM zl&D(_Bbx*nHAfwX8TtwvCbfJ{A^WQUSTbqocls#V8)}MadABV- z;&4DRY%3mKVfh(_R#s6!=AIF||E|YyFPcpj>0Dd&z(cn5pdz{irkPAJJ$GS*^8@EA zaI)_0f?-n1ONe^v0Fkkfp^(TZ*2i*e$3PfcGmJmz3W1aUTot@4e2_##MeL|xu^@;p zR1Y#9V<_eO8An|<%)OIcRwnm#I&89Ix=iZ8vJ+gdk=$id#&^Sicptt+McFpED2e9^15(dg6$uqYZ}x2rw-4 zS`M_NuiA_;C81N|bB}Os@>UhuAH0iic+vte4Am2WWo6Dg(x$eqw0IBtq|{2H$tDY? zrXmqA#$-|vR_d>#1KMGu!Y`+~@u%hp@u{Q?R~aQmnR6n>h%(M!e=4(blvT$b9Kt0O zioK0aCb9TVSTBaC3KB0JSt3s4QvgdWX6NP|Rsh=z)Xlfn9#51k!$jftk8if`Jw^Kv zp=K0??O%>9vr}j1+4kls`|O)*?DBWj*)WRrqmJVGxZX!rK4z!C`DiO3@ke`ehi%=l z-Ohf)x!8nFTHDr}EU%>AS>}uFxWfIFdriRtFC5O+EubT%oLr1@7gBPKIE-mK5gs=7 z5lWgNBHZ>57g%X=0!s`li)3M&myZbq{Vn|^V{90EjEx;Fwh`aRQS?@dndOOsehuz) z>6d+aAkDD$=@8k}bmOz8>^D_;Wmc9~z+h5l6(*Dv8Xg3sM7%g*W`c-`VZ=lf}Pi!K5pf-yVs&tiWzJgYY_@_kXW96(Gi~DewU$#>Nxityz%=Abr;>xU z`4ph3qQwT>>FkUDvdp%(jUeJEhB?=Pa#=+ydPOOPeJ`dBu_^ROEDC7d)KBlFXb=bE zcwBwqLJN_gLHeK^ek()N+R&jOdK{7%a`EK76|*TTJ?d0T185{p>NFbOhnnwG=5ie3d& zdKZ92xvrV{K^VKQpCi>73j(e5Q^znXxd4~^3RTjpgRUF?;!7H^UeHD8lVDd`ZrAQ-pgjh@>TQWp+tAV2Q3^-+C7PqQ~SZFM_43Yq1TucpI7$({11X*Ts(N1Mx zg$`gz5$+5-1z^(eusT>cg+nrlOgqB$#IIu2k8?0qwDL*hFy~myc1PvMpCyyady2Yab3nBa3yJ!W+^7`nn@cM?@}Ti3Wf-{!S* zTgRB?k9tFU=8T#4+?wZ9jm^cmI&<^mwrO39``%bzXMcY5G3Og7yET^oJhWkJ2*>KN zfoE*-k#BKn!PacpXrKPz>#UG)IIZJF);5WsCU-tdS&w)ZQ0Y&xyu_kr<|T=TC653G z0{2p@z+EGw*xijII%4L)U<%Tq0=E|{s_&(?zu0lF=HJtRwV%7IC#~3oVit+6Z|dHK zb88NiJ|9MfiwXnM4>jWJs02o`#-3d~WhG+)YaR;n6lNuHD$_Rf7n0aC%gTbpT}On` zJeG}=V_R~yA<=2}RF_p@0NRy12Ypqc+fRBk$y|fw#2<%og_@tX@a8|8H6DY-dNs2H zDkm9D*6(Gt#5GwCo4OA+rQ{QU-ZS@G=5cSd%%#WL6rx8$t8?hOVkCl?T>qSf4?otm zBh)a;$%b~!ltnD8JJPv%mt)Cn(u0;jKzWNfPno z6R=atjEU_w&>67%pNBE#)L3z0u5H@zj2#rg#6t|4;)#S>cp+ja-8E~@JivLI+qP%` zWI&t0DXWc{_0~47cvi4WuHFMHEvo2R)RY){Ok(3>3@e{P>G1k#N(;6QGIJ0k^%Jtb z7#KMlEgB+&QkAq4-gSf57kfUYKkeHCqUmySR@h@@Y~DZ=&J8Gl2QZ4%vr$h{u`JnK z30yoE4MG*?OxmInOc-);T1M|BGlpG+Hr_H;g$MytV=^hTve=eeB9~}~=x3~am2|GM z{J|EOlAa|@JlY_A)o9r)8=H9~07G<$O@Fc=zJN~Wq#5ReR_$y^(b1L|?nL|xTf7f( z=jn%GTEw3^7_o{~MS+pT!|`>GT8s#p5&;8Xu=t|I93Po?abM^cK$IX_O`|O z77ih{`v?F~j1FwKx4h$B?wDWQdYhec^kOT-Nx8KLXY=Q`+WX&i4hEYE``s^Z$Cj(u zF=vOqY5@J-Lo|xgnq}6A-{Ji$9&`0X8y8z&lCVFRp-eAR+`H_|xXs0EMW!oJ?CwBJ z^i)aP)RV;FJ%*VBc2}4=sJGlHrdN>q?0|~TV)q(*1%v?7Us_z;(^+YTMPFzTsrcjF z`{uoRzp+%!B-W1oD02Hz)RyqnuYnEZh9nXIRuUC?7m&$-Q7$?)h=K({5~hyiTVIUW zO>shtB&w|x^M&HXE=H!@SF|$6kqlB7TQQBwqSEu5d&~N!1BOJjKUSYIk3_P z@+guCX_*|v@$`@*eaWLkBTZcNA1xA;qv z+Q%O2qyxaw&o1T>;1LWPZfz&AhsU_;usAQ6++`P>gIPo^ih1;80=OYW#y-SQ)t5mZ z4H41wh*J(icSdLh%E5C=Tr|~P__>|_`s1vu61^bzNw6a|0DQ^a@9OL!tB4G{#Ml9JcH=PX_K}cFW`Wet15YjB zi2V#OT|Jw)R@q?1vG@;Kd0shLq>FesWCD7_{K*J-KL_jB*H}pd7B_r4_4eubzT?0j zE(#f=(u1C*mq?kVIT$MDlGZ&-lSRO#DeW<@Ngnyxfk|t~X}3Z$F}KI?_e*A32WFW= z=#olt!wF4vaEw-@bhyMsF|ur8R&B9-mb`~~%ExkYoz6;nD~W>11t(j^x(Cfx{{d5n z*I7cA>QcnJ^8!Chj(g|#BW zi-GFVlouGa%B)@%T_*UJi7{))`X+ODK*_`b9Tg1RH*;{6OndQqP-BmW756*`y z0aoutmYo%KeKtCUF)j}*C9crQwcqd4s(H9$PBBc07)j6@N5?hf zH5sSv=YMpAnlAz_VOQs9($=Cz+14L4((y6CuutOI>#qnxdRTQ!T7IC<8Uoue3hhC~ zjOheXFL&k4vR-0ZY5AX}IL^;R1jAC z6N~-nJFumI70Dz)=m9NBVsV}Y_+$`SG%<=87>L@)pMHm%%%nw@<5veTIt%nrz70LT z0ud531!A}X7-#}?(-N9 z&!KPx;1U|c9MLEB4~^oqObBMe#PnhNF~mNKffd1DQRWTVh<4e07TEcWqyy{m$DVr5 z&OYcH5hRjthI%O0Yz`<^Zqt;cn=LF)F`N~#jU8=){uixWd_73x`bb2Z5}n;B># zq8C1gw7+ix^M-*^=g_W1Az8Q*sd%sMqkHjsi+4-O{bKH1SxM3 z!NuRSf~W-`>@4)lF z`%lpJ5%eAy5LTdAepTtg+DptlklL z2wu(;sYneGvgLI24cm_(e#eGKthIm~ewZSRpyN_Zto0j5to?{dVzy%jQiK>J`Is_@ zy%r?Q<}F@|n4Pq*ozrHMxyR6-6K=a&r)JG4$+lZp^;uu{Ry*kE^WC-|{p@->rYd9w zvliGN*LbEHXPJI^qslokET^w=5PC4ONV$|o^?=fc}M50xb!c0W5 zXsX*v6CD;N)3(IF;dqgClMtkjFv|jWDdW{1A8cJCcu~#zT?;OH&=s)=Q$Zru0@=c0 z*sJ@hcxs0JErUO?M6oM@mg2AtPx8<~$N5@Uz`tf0mOp?Z#6{AeknSZnJJ;$Te2BO)0}NF?#La-f#1l9ApE8mQgwW-51zY z{ZhOAo)lY}HOub0`)&&r5QP+rp+jKPwP?xq6w z8>J#2B2-KF$S|ynbWnhf+;CXzab^18^grtft5w04G2&Ncr#=JytZx9K5{c`Xl|P= zDx9?DUJO4A5VF*P^p9JaCGtdbTPMsT)0Qk=XrsiGQkhv>W50XoPxg(Kd5%Yd` z8)@6T*@$JB9K4OXX3uG~+aCAySM!coYAr22_S|Dn+ULLUd6$py`5Ujdb3S<*O73m$ zaCb|2Q6_4YWy_YK|Jv+6AJa(Y=Kj^N-65Mo8Qz~=`~TT{>j1rqv;X^hulsH`vdP9h zA#NlgAqh@{JH@rMMQT8)Q)r)-;;sdXOL2k(O$d@e2*j1R>u%QN-uLsJbKlv(!>`Zp z{qKFV&+MN2*qk|YX0EyBnoCudLFhEv#10mXtlT!qlspP6ePAJrGEj{O-boE(Odd5LV@z*ghQ%>tj^nGnX(oKD0h3rJcISEc9Snes5eLHOBAnN>dtf+UqO75#BBTW( z$>`cm`4f-T&Z*Bs#X!+ac|j#-U##LE9Ix%qKcHAKE|@XrsqNEGnP`Tm;ubk1Mw~f$ zUE15+1_Nvc(Rv){J@(pS{8FI+`U3(+1dpNREUAmsrcGPT_-KYMzUV?7cllA( z8bQQF-A)oF?*(fEvUbu7--lOJ!!pI52U(2W0NCYX^_h#}DQsb7ZU zgAP1El^d6e)no8F;Q$oLxP_+Ls&N^FHkI16ZKFs3^=Npee;d?LKo}LdJycoHnI$}` zDX6lTm*o~pBC7g{e$CwvrxU(50DM+p*=L4 z$QobFU7^t<25RQ)`C$n-ZtU2Aur_S3)!MZY!s^tyvlgQ}tJqo@XaSd<#({pM)X{c5}fdwQ$ej_a-(?MMd_0)&+ zb^R~TrH>l*@24Ku(Gyu}&-y@5-t&R_4H>DDHm$Yt&BwI9s6badwpibMQ>o)dmcU!W zLu^Tb+GnlN)z@4UD8}bscv)vm9*#7!o3=2SRMCGnd`@GM`!1q#zuN@K%gek}c$L{z zSVzK>JrpM%$oydd>)p8z)lTI_+0@}Rl&2fi4sdpkeFdJqQ*dwfw$#1 zwcJDt|8Fv~anI6_I?5^5WI7F2>UCg-#;~8;b;sc+`lvnD8`N~@OzS1|Y zAgT>Ym;J}8aleD1p78%(4YfsHFEwDms2=;l+K)jVcx;FvC5!{~nFEI?@0hDpIpcAa z&zMeKNGz?))5;${LV3dvL~*rFb!!%>?DNl6u>WXfp%!6{{l=Z^RkLIfWkvItSAx4L z>h<*7>(#wmwl4bH%;5EN`oB)lk4_n>AN^?x2xo`x`1Lsb>8BGxR;EsbKGs6@>D?zB zzxMnLO?~4tm9}e-o0oR_;KL8Xb$garw6a$JxTvREqcnQMYpc1ANe-@AlS-rYd~XtA z9WZVzPWQgSy9GQhsG|0`lWCjFMl|hq9JfX(G{yWoQYm(loAC7M)Tab>5(2Mo<@o$F zPgQYRmF9f>oaWbdfHtCIG% z1H~W#j#_n3KU;EWUABT)EpD#2;Gch^+GduAsmKCHdz}b~3Ti6iRhgm!>bSlWTgc_x z$~15DR-!HK2*}E8hp=p95;EJORaT)o6_gSsy93l#q+cH@*8+c9G=&uy#teWY!ZIEJ ziU7pOe8R^S0ChQW_|}2UyfnmEpQWRnv!Q#G!A9J`l3bk2)ev{DieM1v;&`UDpDXAd z3XITK5^)*mYq77ZDe9vv9L8nuSVeHtRm`5e6>6?AB@YLFgq>JDLk&B-sJe8Z>hUk0 zQrJ$hrC+M?o7qg_xRSwas0nq9#m^bnR8LxhVQ0jb zpB~pazj;+xo_~POIj+CyI!KVpbpd;c?C`=)g9gII*;Y{`J_S zq0AlwL1-MMSh0&XbaIcnKkbDgg*Gk1Si3l72b7idrc7l41DIQR5=g-(mnmbzLgf1_ z4nN9>svfCw9r|XGV8!j56l%DPVgwXLNGWp>1lh#Lfh;Pq6|%Fx26$V%zD1@Mi?FP7 zWt9UXC)>37F8~41Zvai2L)bLO%MA~T?TF{MVJB$Emc>v4t%xm{n`U3{4J-lb(P}S8 zD>+>|YO}Qq6C5uE4cunr8@>$W0dYaW8T4zA+|`v1Qcjy5s+c!RD;BTT<}!4oVD9H( zvsjw9K<(G9R8G&Ks>Qu=eAq`>jw4fqMII>t(T{7&`rM>r4JrGQ`g@6xehx2RgRC-k4~SU z2cCIBKe^-*-F@dBy7clZbkyV{HEGf$U3=}dlqZl?nQk(&g0i~!u@$P{QVFu^u93Y9 zb@MB$HETI0F2oVM@RI9v>*L)tbjTn*eb--9y=k7#dxLL=m#E;uG-_2yNU&+D`gbnY zBFeuSrv2CRriXXcA)cG^?kwss8R=u5o_+jr-EhuubtLHN%b#!7bJMq}f43|xs>{(& z`>oeyf4o*(p;%vf=~bP1?(u40S{!uCqR9=|aJ#TfOG8B^zjm7n8_<jj@ z6gaq$F$Lu|13pYKIZRtQww2qe?Wxu}SS*2A7KX&m_LK#gnSm9NpXG3JOiI9yDYRPn zlnuPkF#^obYRRd^AuJ;z`yT2@u!j1^S`f_cphaA39T<{Ha03|s#xVX*{CLS2O>1`4 zwr+QdHlV^#kdcm=4vcf`(r`$^xT!&FxeT@~fZ33zT}=h5#wlN%0?nw63PV9O)B{Ao zQuB=u7VD1tKhuVzJXc3K-6%U3L1|7liVzJhq3jTJ_dXM?=k^5$54InwK;aM&UzTs1|PC7 z$SQ;&V>!PaEr?bvkb1KfsHhn;5ii=@%Fj_D+VNYEXA1~ z0@FOzYjqG6(gLM=;)BvEuR+ZMWVn-wtlFkm0ieKDfKmp1Q2^Cm%;Z#nlR+aX>tWGJ zv^NtMnN6pA((s>C5gVhvP1S%1#F>n~zlAcJ)>Qb>S#6=5|yu$R_;2%7@sR9Rmm1-T|g?SwI zVDWsFr{W@onZJ?QnZmI-Ths!r{^j>_79JbbJ*O0z8t zDd<-5t!>ajDni)cffG@lP3nqUVta_V>c;7M^}Z7|x^DsXMw%56R!7B`y`beEbW>5U z!*$PtkLdPWZc<*i{`$jVd1{Lvo^$R2S%Gw}Jhhuf^)1prUIbY!u2A1D8K{y#m4X}! zV)g1au%G7agsR0;XBDOd17WPUf?u^IQOAyWDz$fp>@|%V&@ry;u0w-<)~BC*q9YF+ zp*8qdcN);YpWb`-9bq`2S#@hsHHfQwCy))eInS9I#1*6nxSryZUT0!=}AP9EqXkm`DSx5y1kt*c*Nt3u3o);m@_sehkB zVmZdOrWS?W<%`t%K-$BmMx=OFk*2=$o=!jQl;9@$`v1C-t0ic5K&9aYbkSz0LDW=) zUYXOlgC^_i1(6i<_)Cvh>kdo;W$>o(isv?UE z3xqt`W_pQeXY+}6B1?-&Eec_|S3Psv&sCVU0E7rQOn!UDvLYWdZdL|b@2ME)vRpu; zyaMkGWz6p7#NtC*9%e&}qmu*65lw^&xb2p^P*mNjBL*F$aYsw%{O~lLeA=@vR(IZUyDq!pXPR{I zAv)otQ}nwh3w3!-o{rl2sxp=@RQjli${#mD>0NuM?1Q&ew_$~hv>Xp`$BU0ZX&tA$ z!Gn~7nnv-lCu#TlQ?>h5Tmm0`D&f9hTzBlO>~WJ-KjTF;&U{_5BhJJQaWZe73%>^G zCs^aEiw@Lb&jwunylE^5a4&tBPux`EUlMcJ*}wuO5R&*gO3p@(YiIuuPQ;i)T!=#!8G4z?lW?W1qz^a>fP>6PmQl z4w$BtDFc10oNC;q%wHPv{OXt2Xx{vVTHSP?j=Sh+MEY3n=XT;=Hw_z-rOQE9X7a!D z;dIUZVwR2>yT9&yVU~6uJ60zT+Mu-89#&1?1C)o(Rohd~RynE)WgksZ3f>q}@Jw3v z0f-1GV*UZ+m4eb{{-H;z`qPh8`Pvi8IqD4C!6>zRAH`}hES~ucu?lfr(`$^1JFrxS zx$SQ#!VtPGN}1bsqVx(y(F#P~rWH%-OoIfvkAd-OvV%e(R|Mov(DAZ@2UFz{b}?bUD`0)epfTD`4G`INH@-qNO-b9DBN z_iF<_z@|)jLuVg9UOj3St8pE(6H>Z3d@Ac-#q8T2#L<}EO0@;;RgLj^EoM~>Eb}A% zEYvcftn-QDou39T6TeugEWcrNvD{o4&qh;q+K<9*kG++zft4HPtdc^Jf-))p07(TI13jmpHlW{ zojAN$bqhXK^|JZOJK#_i5_BP}M^Ei~?=4)(uynK*JL(YS1b)q*bhuL6l_-1sB-PD9 z$Lp=9m38=Okd*mK={pdlb&RxlDnTmHDQe%ESc0|iSW@)6hgRr!H@_Xet@)>z!Avj> zV*f?$$akBePeaqJ;aX(#YypGYK3Y<{4|%c*6v;iaMfS^2(DL9PV<`;bD2$>lw z7LUEV21zD@hJ?C>X2K~ifX9W$173kkVmyT+$Z%S}dYMi={Y;g>Gy8Jty<92fos}$a zYxTtY>o^CAVDQZfRzK<7UoZdrIX|We0|@hmg@bkL((K6r)1oF2SAzvLtx8pkFZzar zZC@H8$@1%VDYu~vWL1v91t>6sQ)WBBn*u?5rDx^i$UAL>*rCt)U@rTJ~z@_S-%i?zJeVi1-g!82JdGop=2jam%zUIvDlZO@En zuy^v_WP5b%XT5pAIT!@VhcG8OcJqF$;MiW*A{00+0Zv^>whX z2?Mu*z!5npQaaV(y|fp;nHurp5L5|*Br$^8%}^yL}2J4uE zen8mwG7vSMFo_{p$dd49*L|S(KHjp&<}iV&!gsmdOhY)Cs`BQhGX=ID6M?1ctMvKu za(GyUe0$WU(N{;$eD~K#G3t|S4Jbv5$nw!hun12KUoWfDaieopoNtyC+(B!+k~V{! z4m)ImQYyCUqq&F*yAx1^ah`+S)#Op_5E7>8!!H->+TZ;;c!s_8j=MBz{0Q}-{02OB z#9(kY6}DG>o33goM$t4I@1G!w6eNY&Roj(avqM>RY)A`Jp$=nE?u|B{#s<_BS3oJD z-+>m+y@k)9Zec<*b#JL6uw*`IHNu_>sKVW~4MG2}7Cx(mKW?*#>FyH!WYk`qw7Aq_ z5SBaHvr+}pz%*16^3WpB!5f2Z*djYE1_W@B1groQ2Cx7e5l}gU$#w4o@?u2Vn~MjI zRT_#?Dv5mulOE(%g}af8raV<)v|NWD^+p(`e$;MbtlrR$uuo3w)~{3N&bwH!OsbYOCsLFHqD$O2a@p6)&W4qt*1$zm+oX zB*lxdA;kZC8phsPo3SnI)CbCdU>ZconKf^N7B1TvmW0JnRqfm6YeyMKz^FgW_Ov4u zR-m?M%CJmyQ-$_3vLPj|+f{HX-|B&w9Kj*0vxS3@JNzV1JyAr1-9F2Z#6h?gX2n2O z)j9?@$tR9(qkldmO&qX_cH_H97hZ6ICX72k3*UT51Jd!tgR!`y)^uusxn&KaZ5KkN_V@@?t1%rdRAx2FNc1USxU$aD>D! zg?sVff1cnWfj0$gKz+v&_M#@vEjctbm6{Ss0FBCmB@(bxfu7iIkd$5Q)Uw(Kh!9{4%@VamvPD95Tij2JL0Ilt4<`E*+6~e&l5)`09Af+B0&scgQ)M&#qHMlr zwc%$BDuJpp(0I1wycvmc9)OWNEFa=12L@$Sl>BlUw&OVg^#ZQfG@;p!ac~Vvp0(&q zS+$|4q_vCJtk36Y!hz$|yVGF2TYg1&s|*bpT*{qn-G1Zofq%4WHMV%bZT~*)bm_$- zwPfCXcrN&(UikORI_c!&_3ENZ{rW1TTD{h){ELs#WG_?YXH(U%VuA9;AEv^|$79^w zU$wK|;^SEA%%6snDTDwcCSYU4QV^+EY}PAEf!Q7}>7=GX6O`5U10;0Ttd8l`8C!MB zgI*F^1D;XlWiF{b0Y-%aZNMbdFCZ!QAq6Cs7+hW!(f0ledC3qcrtdzJcr>|l)4D%Q zs};b=Gs8ZdalHU!wdk8#kX5nHIjE!Fn!Q19ZNjko{%dr~iyvw3+^^`zm|lE!ifTW( zL*2#<)|?reV4$}{)uB=kqMY^i=Zm1g4$;9W`TEzt9t~v=JoZ>jp?ZfUpJNZE;72U2 zY_rnKH{w!xXISFLKvGS>jTJ~iEmY44isc;$+XyP=8H`EE40`i3-6W0pIjgSC05PGq zQ4b4&Ww()TM6ug7jhA^5-^vwn%Xtg%<$WSW&FkL?i6yVMlzodrSb=(?XHCs`@YI7? z?4x}LHfuh&Eny}kP7V}KE~0ZJ-|!@?Xv&-zNcV_A8mKEqQ-DiDN&;zl7WL-S2E^Kd zLjlmI)$UMk{U%f!U<9M+*?>>=l2jypM;)jqpL|lk`o$G`^7##V=+T$dxzm1HuxL|& zzegUQrCOFaUw&mKa*X9lcgO^Js zBv{4bg+#@{jEA7AyI+4;S^W=CHu_s>$6utnFQ(%&eSw;ARTKYchf+rzLg+BePe58} zxLnrIBNWeTgZ@^D$~Q(*wjQM{VVhdPn`sp&EE9nCiM7>U^+?GjU)VF~T-Sh*q7ky!#L<+zeWstA;nmwl$xLzh#@gusttp`JJo z`dBE8HhPjm6{Ds;hh=KEs^ZY#jc_y*ZDMu=csx+BmKKD|p>|PA>}ip3zp>(obui$CA;ZA2W^pBagi4sUcu@&6UItKQ zVOTDMBp2RDHd4Gy^lIz_DX2j}ZA8}e0Fr?zL3DYl4d+~A{sgdD7TOaiQl2zI%eMyT zhjq!)6yR$8`b`=za1`o;H{+tEkj*YwVAz8ca_~RZv9u_hXW*qWx{hfGBKG_4e^fue z;{1TH?)}Zv8nJ&Lwd>SYX`?Zi#zdzU#8tm+j_MYDs5t)Jvql|`p4Fjh+R&G9VDGUs zWDtLb*M~uq6z?@y4Wo`z_M-Qc*?uSz#zKsv*Mvc_yE;X47w_cV7NtkR0uI!fxPYi{ z!yt}>SlN52##+aSD~zb*D2zr|xMuGWVW5%6**I}g-%5VW(%B_2)Pqmsu7@!~?pcbZk9fBB3_>7K{O!dHz4{vi%lEP2aT**^kGGf{r zIhg{p=Y|XUJBd}FsGnsXY8H6ARDNO)@T_-W^8 z*0-|FmLoLVjf(RdU}_kIHJDWFLX`oKiGT)bD;wWa*|-tO#zH@%36VXU4V!U`zyM+I zwE@o?zzviJ@&KFwS|g7AQlZ*U!WW9I`&=nszXsoGhwAn_K(#|A zEA#7jmA8GJDvFHUn>2C%_WIFD$7|W@3fc@QXbI{ zAO{X(EC-v?;Z0&J-e~`2cvGln0%<^HCQg2T3s2E!Q0IQ&KOhuST>kz5CmeZMm`(#^ zKVc=xR_HxBUHbEXYxUZ4En2cXoKHG%h(7#ik=}giG8p@a^GQ>tOwmavo)nJXdgeV1 zDOpI)q|%+!ZUYu#GeV-8ef?|zCeXDNuQ<;FK>!*D-NDf^8?(%)UNCDWC%{0$^u z+f+aJa8z$`c!_GWXn>KC;ZFkVXwkjyd1?ixi>6WAa!PZb^)C?YH zQ+6SK^xLR`ElxyjOM2tLP@Dpb zv%NtJ0E@!RTf5z)J%fyVHk-Ux5+Q^LKv-NDlZN?369kq%;K8ZRO;5t70<%D+usA{r4V5EQ_H>uU;fqF~ch zhN6hJN?c|KD?XSHWupG#;H_pW*d@LZv(0T%S?Zau8VsLp{2WlGp^=Y(HwM@R7>p!~ zjX^)F3MJAitDu;oYeA{yAS}SfhNE_X7PQ>y%Z4gKX~l9u76xv+yTwj11+S*D z-H6C*%QUP%E{9)5UvtS~4Ie&KvoMhfJRoY&8g24)6cMWu(y=y)knF+EEL6G`xpwNC zl`Ay{51#^Im0=iNa9}@GEL?y_`@0AQSxWQ*adqwrBMwTkeJ90QWB=D@qX3kkTU3v4LP$uKw$x_b@B&be<_Uj0u#Re0yXvwM_>e`_|*WGx8KKg8x z?tWyUUVij=&G=%i7S39QZkDlypQ0UUXty;@5SC;!zuu{nzn-c7y$dvHI2!ePBR8J# zsrlozP=7h%tf!maG`+&$P;lsjO6BBb_Z1!)?c;%)<3h7KkbnB<0v4_K$D6GjEgFzjwAA(&w~W*x5S9mc8svL! zWf3gBlD^yXwF7Jj2EJ~s1}i?gh3K%b@# zJ*;}9LU6Z`3Zh1U5AX)~4-Js;bvZ?9!rypPn{Mc4#nd&emvqAvjC7~!vdgYi`}PA- z0<8{jHsY#9_MPPO7*RAQ*UyY_Y2LhfI`YUP!ttW7R_LIkadL-de7tI;8aHiFl-{<P%&UxEgy*R!#pSl)CvF3~ZsmNN&4K+_gAa z2;gN+7E-njZD>yf0+SBtuAM<2YlcP+?WB!cEA`2n1j``ro+b=41BfRKawDKr4eX7* zrjd+?o-chT`RjrovM`;*iD5`PgS@cf3HgmgFFC54W-Qnl5L+n24<%ASbDZbEp6uy6kQefewYXwOW$2sB{^rk8vf%(RYh zV=s^<2E44Wc$uT-fd;1XeC9b67;3&A&V9}0vIQkU^B0WVSRk^DOvgAi6-It0B$ze1 z8vsf;DioP^pxrxBV zVW0H77PSHwNzUce5|LE&<o>;K5d+_HkXABTdKvjn z!dF$>j{4-&&s2qXghLKKNPqdS8}!Y~FDkcRi5iPLDx*dCh?yBq1OSSE~t6=LgINqOWJwDBck05v}i1y$yxw_$+Uu(&VNYlL) zO!nedxjOc&7lKxPrn$zXjI8uPeR&zZH?oQF6>Sc3a@|J{Dc03z_SKuHDj1murh6EX zG?<5`k9nxMjBp_u%`V$Wj^rid_T4CV(r50O@Lag_?Vl(&*EldNfUcDePio!fNN~}* z9YJaRI2z|Mctds}9m9cOta6u9s&^`-4jyD9h>HHUW_yf2i)H1**D6pg%y(~byPd(l zun}f?Bho_Kjs`fepv8$#2(<(w`ifzSYJV&FE1i=2=TIi~jQa59%jnQ&_}%?&4IC6LAPzxQ9876VT7weh*6l@F zyM7n^t44TgxqNC&tAs_Dw#YNXs8S$pPoGIkv-uI>CW#=)%?9v+o6P1m{Yus-TP|M)=)h$yEsvK$c zJE;x^%&0b`<*{^Uix+l;TE*ru2V24{C}T6s|I`9424T4o{u^2Xf=z}eMum^|q$Dua1AJzz2k^tFHA)sY8wExT zd04NesX%PF6M@+p{CHcP0bfWlnCzal6M_0kK!!}rx`dj0vI>&qEeYxwvZctb07?}BwNY5I(1I_;!k>eQ(#4CL+M+SGU6 z(XW2_3s!--nmTheKA?_L0eWJMJ2s%KxCyZEAJNRhD?bXn( zi&6)~ej!GC$s9*?es@L&ORaPEDg z5kp%gu3G_e6HB=j8!Gk1(p@@eB=2X^_`c)`Bbj`dq`1sbS&L`G!rMlwKd;||^& z5Y``m{~JB~{7bs#>R;;UQ*O~~&t0Z3KLTkTc(dj$j%)bP9=i5#uc|M0g)cmQx<(&# zgZ3XeNYDS9zz;vUM8CP}D*f&c*J@ox8;u&(3r2Ia%!v`0GqxM*p==8lw;PqRYMRnk zqvf7m%DS>2NUM+PSVHcCcQbdvOW`Aj4JRB~Ir&Lr_wS_gau6mT=5I4~^Ywh+OI+{~ zSS3O%KITFqh2!KoCw5tr!Ipy*FFc;SmwX_(-}9h{{a){hW*IsrdS~=fKa}i|WC7pZ z`$c%!;MYj6l4Z10!2uJLGp2vgy9;JF?pq@zQ^rO}=3{aA->SLSh2)!~OUbiPJU`#2 ztb8@(;3q+UMd!Zm_wGr}$9u}9P5k*$d+b$#JrSQCNR49i0i7~#dPCeAJUUgKc&-8KSO{2$1OVM)VuV` zGe6N6(|)Ou6Cc#ZO;tGd>!u%`|Bya<`&WADsY`Ui8TW^RzWCxxjTtj09KUrh-t{c zZu)rIC!xGXbjoaclXL}>kJnGoR``wtdfu~a^8AZFW7oG_e$2~9k~wbK*;%T#*pOt2V;nOZ z2b>LhR=yarCJJ?5CC?L=e4)9{(Z%NT1PE}UIafq$nKpFp;As@hV?LHC$*`xLOo2Mg zmrZZ%!`*wE?_e#kI-)sX}(SJ;EN$;I`YKv`fAoP{q1l6&_NR? z>50exrL)dHSI3?Hu%xp=3qPrtPL@ z@FfqSn1x%N;4hvlSuCSt@D*(vc2paDZdQQRW5S+eYp(~% zGsg&`p+q?c8>N9PSy_f9bQ-!?7Wfzmxy@2QLe^-H&Y{wcluWH#JP;6++dSI*rbvOb z4xQPE2gl78liUg|B1M|*5#oq`_x|j?!TkT?m@HAQMBY8;i88-?o_GEJK?(Zo&XtXy2#oT&2`) zXx<}$&m=hMmWE9;WnHXg>+5vX*kU|2#IzJA6d6nqJ9b8D4YAGZ(U~h(19o{9nmA}` zSh^?n_FonxgtSu zf&tNh=0O}CJDY!2W zO7M-=Giu^qE((v+o_SXbKmJ5F-f(@82F7aV6DqztrDj64jZz(+FgI+1Qls932bHR% z1kVIEwD!m)1uDphh`|;HWcXkUCK$|I=VCbaveJXjwt?Y#c=|g(A-jjKQ`B-U(@o%K zstF8o<-Kz?cTK$>{?))eOVucU^RHDV$}t5hXq%-U9$1Q^>1J)s>jH(75~4%5E5;u1 zi>a#00S1hK;H}w&D$FC`4DF{F9cjY4F{o?}GWmi9z@pC_*yk#Rlb@!nePb%b$e58orsz7gsuj&7BY z9T+u^M!K*0xQP+gfaNs&c90u;$bq;wfX}On7w(`)q5{aQ>PfF zK=7<$nFI-AfUgiY9@u4Bxw`ef)TzM_BIc2m*ZMfnh2rA(Gyksxrn1 z=Lx{`Q^~^sKz`QX#-adoia<$mJ!mY4g#t4mfZPaB$8m+*$QEZg5NE&y`!7iQ5Q7fo z!zPv!N!=_@v-az4YAuFtmOVst>shK_T{2!b-#>+DFYjvP2&8otYpGE*P^^b#^B5<8 zkGF_M+o_zQNqXtoM>Oe_t98hvvAXx}=QO6YNrQ&u%M9cU^$T(+r(*_QSg4=E=dC;P!2-r$7#Udza{)_m|)` z!poMZmAiH=R<9mywd|8+9 zvaS1M#nQzZc;EnZ?dU6JkAV0M2H{-^uf#9 z?ltHAPeHkd`|Ec`{Z{OSJ5mx9*5XrWREx6d-l^6tJIMFjK`2fCv zP{I&%?j)EQ5hObgMNgQX(Rp+|+)Dg}>%fcEwDzcKB>*TYA_6k!i_R%GTxtd~Q#wXR z*jOXAO4Y=UoAn^!>wo;y%k=S#Pc-4+Ut(hz@m!M2(NH^=8IY~dDwK(jrUkQ}Rr?_) z=;uGbQty3ul3xENrkvrnw}d5{DMfp5u*U&Q+{TYC)qozw8Zo#4 zvz^`Wns7gjf~%>TqWny~{=q_RAwWbrORR|_I%pmKsWxq@(4fA>!Di5=X>wD9PZPh%_aFce?xRDSxWPMuY&eEOy2+Q zC6^n@4&^1Ny=3Nne80~lEcc&5rxs<+8cbuHA_PNk8xq{<{`qg|yVsKE&3gmjx6*gc z8CKuA{jJwf3I8>xD7VY3gGW``y@Rg!`&s(SHMi@tnKO0N(I;xtihIe97E!=_&CfQ! z7XAqu_9a~==&Uo&*Zi+P(Ipq1r~4mzR6~dabJVC3HKC7{hIAybOZ z3Dvmqrd##p>^WLjFkPQ~Uaz5pHwFiSzS(cs&HP3PGh|Nuy!ZOA1Jj$h^XfV94zBLj zS;zF!>(f`N!cmnm2tMWaAAyXCXPApEB^1_kHy^80ulLo=>L}BaxV@8CZoEt#2=TQUS2pX{mg&Gz zT{UiCC&jvy?9st0#0|5p6)b(jV_#G_h&L>q*_#Rt0)k{^{Bpl)@2$BjqUY%!XN!Ik zdH3r4fOz*hj|$rZjy&+6lc(FT@!p6k^~+jDYBA{9K#~e$)SLBM9FfGlEboKvOStbm z$<6$a|Fnh0VHk*eBu4@44f-sT*qW0m0&g%~831836L-(Xyx|c>p1}XW&JY!IU6F;e z002M$NklSL<7J^7?^uDHR9cAHsh(*n{U0P z^Dp{wsK)QFe^+zgovQ4ubBSiW0A@RGOo&YV%m?L~iG#qu{q;H(w=ULM=bWn|Omx!H zs_7AzTT?_QY>l=0TEsL~dO|_0Yqzex8 zpitrEZ6N~Cv6oF#8B5a-?mR@7ojFQpUGs{*TD(Pnx@468^0SFrw7fhxv(K4z6^YO1`lPRm&mzB_vh2JN&sNbCEO1q>FlSViFT&GlNml9F~?6^aOb8;}nD;!1{o1`3Q+ z_H>jhA5OUc{Z)-HR#fEw@Ec8b{{3Gm;s4N7|9`&yZHngGUlI+B#5JFM2dI*#Vb4!; zmJ3e=VDwQmsKQ8lds+t+Bd_F<}!P+%;o> zTS&f>59_X*o?fr1?|z~K#taCri%O1a)Ld^P$PBfEqG)LFU@X=Aw|}F{&iS0^I{zlR z&dIv%!w&lG&{66;ykGddx2G@DJuf_|@neSRm}8FBKW@A+Q9dn2ae?QSXoz~;B#d)tOi~D zrz!f$>HF){Bl~FNfOb0Xx36j}JhneyI#U1q%S2uH`w!K-V_rZ|QH4TdM&sSDqP;Ec zHR3WaJY)=g5Y;1kCi>ljC#Ykdd3WS*h5P8$E7pe$?M9DQ!T9}DQ^7=F;R-xNBc+%< zj$%ILezFrsM*?rcM^zJu-V;MGfcFH&b*nw3;DI59s7WcTk5g&9$Xv@+hDXS|0~`cd za8$4G85|~S{QVNc2+8>y5}&;X*@b?mFOttkrSAK`-<~4(@$XH}*Z$08S9_nF@!a{z zsIi5;m8DZ*lBd9^wLN~SffW@AJ8nx!0D9ySnZXSbGSJ7-fr^8KntTlP z6wX0hjg~T+r^M35l0!K7@RiJ*HxnL8f_Dz>mI>4s>5+#Y(vTr{D7|EV#*jmmM|Cp- ziF}S5VdbhV2+NW>+x^9JhUoG8uF=>N|3Q?Uy8=J#>PHH6*;@R!Z{4il+%-FV=6~IA zn`X}ZOpiVOqz3Fagf>93Nj#Mn2 zv}t>_HWF6LL)TJ77dNPHXWjeE0zL5ddOh`r(R%NZv-F3%XX(Elnxo%dWCLzov(OfS zhZQi6k3AXi&Ad1Ia^oqt0%yLSyvWnvPRSdnLhwx(8z?t78=hHYa#RR~g#-g+MM+6M zn9B>vsD^Ig*92UMHwZEcN~Ea6ga|oIMiC5PM@qZlqXR$gsCob+VdL2}R2k9|JT1S% z@Dr(iB-(Dz>wFNQw`d=InyV6;JJf4W=BPbMHN`Z2|m2d{wg+QVgf2dU0Tpsj~zrK#`YYl$k><~`unWvu6>1Uj!Uwzd4 z;Pels;vcL*7he2h4IHqawrtrRR4q!{W^3iDtvdbW5h`reNngy_sQvo2(av3U5z^Xg zd_-y$P-J0LrD@Z)YNUctCm>C`L$0*n;($@0Gx+4KWew`rs~BCXMxFM{7j^sZj?`~1 z9Io3QpBt96p>~uX5LBY75o+Qh&nKDjNxWht5D-@)Z}ZNX`43A%^!7JYDZh9Go?P>A zm9siz%VL=q56eR%ES9dxLj5gcV=W2;0yMcgH4!1jNs0y8sq^U+@4lUb+!3tZ}{X0SOxWk?~j87-IkHU`Ao!05L_%q#j%#A}A)4{tUwaw5B=? zz(zX(`2j6{A^;9R#MNMU!dgIZ(775oaG0t$yidJ=_+(1J>6VHnUH8*|T8qT3e0QA= zAJTEilHLy zvL-(-Q`g_{D*oi#>f^UB(x^!fY2o5+dytmLF++{Eu0+d=gnWR4puaaGn0jxlrsP;0mos?>`mqeATpeWr}zzgEPxRT z%<2U8lVwgv499Omp;Z}qcuruT;CD%QB2B|xuLnyyOz$2YHj^_&ufP79#vCw7Idy$h zGv79TBq|#%30d;&i0cRAyAct2N8lSBFtk(;y|h%Now_5&-=YZ<57KXbeU%0c8BUOg zBGv8qSYwX(1%(1?`-{1DGHg4RdYkql%UL(5B*%$v)k3V>!KL7ASjSNU@ zyZRFY&)P3I6YGefXmI-WJl~8<8nj@ksV*zLx^)VaR$$>kom!!n<+cpS zAn`zGZ6hXUbt`flmTc~vaLrf2L1F3GoX@$NQ`Gu_A~Vuq&dJ8LPI+amwk`Th zZ9wE{1PE!|zFAGXHmPC#T>1v1aBI2e7TM3w-a5}n*G(LMhr%S^x@Z%I;|A@e@|v(FY%&AX6jln#oRKWYfc@LaVC6E9h1=?%J+e zcug5Qx9i;F#_Hi$K33VTU79%hFg^9ut-PsKG{`-Op?0ixFmL$|ts!Fbj5(W$C)in^ z&MwEzGPtj>wK|k`(cf-JGS;Qrt{kQrPhF^s{ya@{kknZNot!%Alsom_>%Y*9saI+I z;Ws9b)&=_Fg9|lu!aoT+wjGs+cH||Z&Rg?!&KaZh;wuZac<~Od*iMd}*E3<4qTMKv zK70E~>eIcIR-!!WM(s>2!>v22HTj|^L(4^~1GIB^F0mZqI9hHpVEM#k)BBp2L-uVI z>dg7x%g6BI!WWRhc|vDprN7h`e}TN9o~n}Wwt`jps#_Bh(Wvfmy@&D{)%x&}xIlVnt# z=tlEz^4omH)%DLFS#W4jUki7d8-fu)@9+#oAZWLwlpF? z1ME$~&Wv|66I&9Mf>bcJb1OPY_*~z;UGZI8)aX5q^K3ilfCZ)w2evgOD82vwG#zxn zdARuP&DnA`*V}j4b6~R=D|Tk%YsyPA<>PlM8w-E1G?&vqi@ywum2pRbtOpaCXm*{di;U&_0{wXG=B1rase)CSXMcYk)<8?c{$h?S3H5zvH2BzN%|@HbEjWYahaTkbwFCpF7{h+ z(Zi!qWGVP_xBFx?bi-uShyq)Zs!#9Ksqmlrp7ufVX;{oT*#d^k01MoA6sN&F1X^ygD2W!;?=>%Cd;WF9C$zpp)+zFQb znJh1GXKW9o*xv+xmoo9g-nJVt1Uo1OGq_VxD;?SJk-qq1F0N%3YQ)G1)b&mVICA{|F;4t;WTxZ2<6f${7R~X(Kw^r$ZlgJB27%c_e9_|AMFLl_sy)t-o zFu&{JR~C7ks&W&dwJ7tU>qh9JKTZjG&pWoSesofQz4--8;w9TOka&ePgryHDv;{{5 zXLX7rLS4IdeK^iq_N6LQH{vQ9MOgyQrr;h~<{cVodd+DB=9h*E0g5<0#SdkgQm%*c zt!H^?BOgy_*2hYN4Uo=8KsRN@hy>2UFcX-t>&A>!?x)kwE+2|KKnsy{8oe>$)w3Di zs)0908?W`oQZ+d10~jsvaSBK_z}uc0h3hThr{y3l0M8xm5cLiN?!Xdeyf*;wq(Gal?n9G#@gN5reRnSd{q32scygM;?+j1F2{>2rDPU z0eLO8j+_u$Dr)0z^W(DYE+X(EQCVTqG|V-kkQydUCO8LGtpYx)@oQ#SZGgqm(o%~+ zSO%RiKQU9*13b0$IBP>=xeoh6+ZfhD+BwS z;Ed?1h=X{}@jZ3!vHkUz2fxy#r}cy4Yt)%ne}HXZwpMSh(#gMgRo7oJURRwzTn7$s zuM7V0x-LFtBzBDb)gMak(wn{v?=jv8Vc@k{hfXF)EEClS(>|sRdJ+hS$)jNhlL0=j zkkU4R2;%D(NE_$jnH8z6Cy!PgkZrVA9O-S`(Q@2BAgzGFLd~Ps@CD((lZSgenT*8h zp~CB+&dc42fQs8Y9c*VgzU**m;b+r?T&-O|f+JVI9B9IgPMFTgvX!Kw; z5O@}}H-j9)jOU{TVI#eN)5OsZiGo|(YW=sBJFANQ)W~fSDJy(083$jG@{&?vUQUNXGF2|-XTiP zF~^>u&)x!9U4bx27#_w}loy!jTpc~4SXW*&MB8@s5Bw@$?}CKx&1tK&XxW+sqKKYJ zj5(f)QZxiP=Ka+ue_sBh15|+>;N#DIO&dga%TN&AnFzG%QRAygvl*8XvW3x4e z2WEQ6!_v&^Az#izWy6D$dNn`i95J;m&JO%F_eVtiLA5sJt2JTjyR>buKin~0haAvb zSDiOZQ|>!WM_oEipUmB^yM8lXhYoLrG4+b@Tr9zG@7u2*?r`iGVuOx6?o_oq`8YLg z+pPLE%h0y?2C5jk2sM+G?7~3N#wvCwr4pw4rujiC7=vNo=s1pf#ZY9#A_UR-(~>$_ zN&4GJYVYK;5Qoqg6Sv%BRV@852p|rkOL4>{;0tOi3zt9HF!8hDdu1a*&O|5AjtK2S z*~y$OBjF^xMumn(4)^!vpB90zk{uX$Rser09Umlx3`GCA^Rt-AasZMXnC7{-)U~^* z3`g_@h$2N&P=fOV7v@_ z3H+BTE^Vb98@5pg_;C#WB;vA;Q=jgwQ3fq17%O4bp^p0WXss_o#2W?@$RgzTdwDiW z->MOp7>XeI=^w8QOG8*l0f{-Uk&Kz(A-Qqb9I4t%N~dIf7@nwDtO(bdeFRfns4I*txq=1NyN1{A4TJ3wrSS!}aci zhwA97rmKvlZ={r(=o2G;QuprNwQHAoXj1zayuxjp6l>p6SzUXmsS^Gou9CHRC6R^J z2Z0Bk4J}q=S?Eavi7zYwT!mVcD(QV z&x0(MiIeUZV$mW8A_i%BnvuW7-LCpVYPXPqMHozFy7~lax0a13q zmjW+~F$e!5M^(&-l~54XF(|4a86p!f6;v5u%!csidjTp1Mbwm$jhBq*T{Uf51EN}> z#;psn3GA$l{l+V`OHX2;w$x1OrLJzL{+4+@cd)@ltT!Q`faND;7Nj{mMWtgPW-y`f+g5n>fQ$-DoAZROV;gBpjBIy zf+R3zBn1L9@@k^I)O!4Rl~*XWdMy%Ns703dbs0sfNylw8$O~B^utT@f!ltfV`(%ph z7C<6-Y0utmXmjb%n2D=qRaCKDtwdncgsz>xJuu$M?0rj0Eec^7z)OkZTa1&tOsFX< zkA@{%h(2Nj1;!zd^F;vW-w1fP6pju15&TCoo9g;>Nc$}9uFp^f62uw+wIR&t0BS%7 z?DsH7ljTvE%VXpR-v1)S+94?<;;{K+W_u4A zH&BCy57i%j_Zyx2!@26*=O~!RS8%70B9p-E>heA)#{Ie>U`Xl0sZo!mfbh4Vv^H#T z7K1;32L9;7c<|dPASCMR`_UJ05;)G}^LHeZ^N_*D*W2+m52q#&ZGI*lUY@H{9n5%m zjOTsL$$Z7l+be=c)6Ls=2mLX-R1Pl-H4fRFQ(w$m$KF(LGO=tbDAa_}@Bq1#)O?R> z8Wj=Ds<_vxRVz)M`c8PTZEI8-@>rG=CaYo5hidv}mQoQSq;~F$l2=DHcHd9x(ns+M z^y7AJ0y%9!m_q1!+RmWq0DZ6R6ikmj62?SP`manQEvaK6-gt?!F$6& zG-v}g1z_xLegntAYagzqFd$M?Q5R!NS9x8kD(YGG!3Yf{FbIvLV2%1U9Jyqt1e6)l zI1b9A9Hp}4OWTbW^>zj!Jd~!Y?P|z^(c9}lA~SbUY5`()5SmSStc7g`Y$F4{5XW~v z7}Q4d;48m1^<#}4JQVy5D{o@_W zjIVh>dBg^Q;3q;{rUtmra>q)d2*?EcCqhslDONaPsc3u_vpq=)}{3W?mrFu=o?cr-Ae&p4)!7B1g~TjCl_SyFY# zahw#+m+R@6FRas2u6aWGXRAJF!Wh)7-@cJz48#;l%ybyj%T1}m9E`Pcx82Xkbnb# z${Q(xyh8o|ANJk@u(7L5|9&OemSsuqbv)xTy=NwqWRgh_3F(lKg#cL~Kv;U;>@H>L zz3&3c?uOnWp@t*`LI|mo-llht?Qypy%aSZNeZS{i$>R)@-LRAo{-ZM^t6kl4?m5qS z>+`y|uJ80sp&jmlV+gQ-4F-eaS3EDF4DXtRIXi#`7)>-V8NgwToU#NlR0%5Ih(}5G zDK!~N9S&$krs=Qu>hLrdYzd_FP}I8!-9nRNR+<{Lk}(XutkUAjn#A5QF>ixSRL-;M za0TiG=b&IkjxWhTtFw>unCaTj6*3YUC?Zw`@plUyEow|i{))vy0F&%``eG$=7;y-MQp}JKJ9Y* zsw&tiO)I~5P42Dh6Cp$rFe;Nbg62TWdy($|ed^TeARt&#A%i*rv+688IGaO#X(xf{ zXK~mh6mefd=tXrEMJi&jrQ&fFaK`XFN>ZcyToX8j%Zlxd@BfAUvnw>8^uBO^6@89M z?FVe=GW}%MiNN`&$i~#fep|I>nRRz#RHrRj(PCvwD9X{)OyQqHHnZ;u(xW=~As#{; zBR+ycB{}J4`*Cy>rTQ&6P;N8C$xU*vCc@R0jMQ5SHjQFJT0&C^i%i9=%$LMIN(P~> z*8z|B?Bb~)``5xGD?~I%*)fW4G-Zj4Cu1UslpfE_Fy5vaYdC8dgKXyZ>9Eid!@FKz5)Pw^u@JAw^!J`59nHa zcEY-5m_OU?iJb$sW8a8v-_>c0+QPQ?VBCInM~`h>?-}gXr`kshfcxV6FSQHKY$sYC zyAuCuQ3<8GpZMZmxJ5|UtB&_19i1JLEek+N*-~3Dzu9iP^+tV&X$p0d&-p1ft9J7P zT-p8}^L1{=Lx>Sw{qKN_{VDg8vDGu9su+BzHYAne8 zj^GU~C;chx$Lx-m*_{i0qQz4GbWWa^SyDV{OAIXClNxAu6zwkRuu*J)qvI@^5I-tL zP%Xc(Y9GfkbR9E*gA{kcuc?caf{P@x@%!bo8dA z``PRt4L>z~H>J_fx)>w|E6Pe6&BiQ4s~*~K(_>X6 z7vSB~BB9pQLW<5jn;Gh*|96>>5C%W23%)=|hxhg=u6(Y&h`{3@;Stevn6L&Ut$it0H zCV~c*9^Rkd9}k)e`kwLRR`_DV8mdb&&d3^ObuA)?khLHnC@i zZ7k}htkwqm;D8RANNFYx7=v zD}kUYf-1mz(={hM_3WEJydOK)0vG4x^#tcA5W--8=H*{<=ltG(Jl_GRdn0snoy9x% zT8ijXTZmAIpZ{{3J-X$PJ@UBJGM}wk3C&A_$sF-ibL}L5JmmqZj|CKJ+MmKHKL3q- zc<2-NEzh2w9;S$d`%H_BUb!FYSG6|KwZ%?39FC#+=s zx#nB25F9V&ehIh$kNWvGQ`5*|K{!L-9+()gH6r}!%H%O?6QG6Nko zw^nFjM!8eoU*FY8Nz8K-FxN&CA+&y(421`z$8IC2LP8M zvdJ1GxN0PE{YM2+S*!gwU#y4+TOd*wCuHKaj-15DkQd}v^^cerr0XA?Ylk6$Gu@zDw2P;&Gw6W zA>tuO?lVa`d7yE9=_Z^ecD~2_77CTvhd=Yn-0rXqx#pz?z37UKLKr@wQ#EnbFWyV< zwWg)M=QByLXP&$W`xl&HyemeHRolKF1`K{CF!r8Vgq*?tpfxW&*-EPy+l@DU+HHIM zbI!Kt;#x~SaJx<4_caT2onrnKCt0?p!F})94++YwZo&~#Z^cmklp>Lpq_90=gYqfo zlY{6uI#<~p1zs||*AUWBp%}`DaQ)_;_ObH!7zHfv_Rgv`Pjk23 zbl{HzDgB{^knL+i8gvsD#`_ac8V-|t8j6`Do}ZCS&_*T+9wh@`4f%l#{=<`BGF_HI zT7s)R6hCCe!~0S5ux-r(6)UGEJ%iPUG1pHTkF7YvX6B*d0eUmr9<|9WcfuP_NJJF| z;Nfmtv0=S^;lIwdH@x}_cHXnkx8K}$yZwv_*|+aM?4%PZ6ZYy0tfvpv7nM(RyNii* z|Lm9Ur%;5>+q*6aah|Qoo%U1ysGmG&(6+g>UhijK=j2}N$!)8NBvC}o;g7e$@y9K6 zobdWO47JRY+v1+;=O&(a41rT=iN}Yfm@3)9ba0=%9rWezHt*J6pVDe;>tTd|PoM3@ zGBJrXLVyL^WbORR-(Xw!^xAj6`Bl60l5?ybnx5(Mu$7$g9J8)fmfdle`8I#w{B0X; zh5*v67+(t4zbibusb zRWRZwro%Qw_(TH3y81Yl@nK*`s7qumT7uLS@;UGd;e5~nrVbN$$u{Tpnx~>qu^7r> zlz@+GoSaopB6~_V920MjX}~(#9oDqaDAdH8lJvkJD`Aq?Fu`i@zC}tf;yRFGJ4Vzb zM)X9c$OhBJ3`PP3SuGVDs1gNpn*%B)KoE5?lIXSI=s_YZ$2bOCmcU>s)lX6w16~Go zP57C%6K#6_GE_r6V1v-0Y~O6tvabXy0ZJ%I$a4!F_be-3dxC9(fBX}l&)Pq|@l*D! zbI-9S9(}-;u79f?c<@v^``cGo*P&tTPkYwGGWvJv#IJf z!X1{QlGHgy(xROY+06cj2_VJb3I)mx0gQgs+2Ye);L>&E@tVL`f6k?+*oU+KXzzN{ zr)=%|lkA>*?zOM{?59@0>@v<}0gq01PHR>zfx~LIeeb%f9Y>b?(7Sy1GS?N}YyPTl z#FlW%`gsnpzV~zDlX4q*QmU?=Ca~hAc()|!sJYT!xxB&$2%|W%jb~aNsJTh#CcP%& zxbrC1)rD=<5+okZO$B04JE_IaJ9CjW&x4wn<22S0^yyT;-`Ha4mn$oRct;~vR~LoK z<$nAA*RQvId$`W;UvJMpYn`no7Q|V8^c(E}gL}3tV$-WnVP~92$D>xf|94hAa=<2= zSK9;<>kWI5732@7U z(Dei-t(kb;*myAu9I`5XU0(CERQxj zJhB^)nfC?2a<>|3ib=0lM$T9@G`Tgbb|GvGqCl3)r~E=u7AII02e3uNGN=ozeomUo zNhXXbnm3h2gDc<)<0Y!e4q==fz$2B$5RY3MpyJoeQ3fKChU^pmDZVQu53PQgn<(-c z77un=VBaIqCgXCKn_Y`J26Lz>O{`>64pZ^qQhs$i^iEF8NoIqM(w&+YH`Q!(`dp=?!co)Q$yZ}^xeb;`gCSqRn zC*CTruk;$dZGzg-DsOr$JpuYCLUcHEl95E$lxuf{e|k(#B# z-utOrO+eZ^oVCw?^|yA_i(f)s;zB$B?32+yTkWEgDy`_z8!6y?x&@Z3mWT%eaL@JN zyr=6hw&RSj-rZKxx6R7--D$y^MV4t;O8AM#oiBnu)t}UTO%{`S!y`PMv>M-#Md3*f zs9$8e1E3sm(ZA0AM#qR2MxV>MQxCms9UNiFNuLd+W?1yZzs6#u?dX6(IOPXYV}Mr? z@mf(lhY_ST0aq4@UQ8iJ+$VbASZT*RV2Oo5DEB)#)SRnpk|llI1oLbhZc#UV&U_iei!(PqGWt4?v! zl}G2pS!2Y`l3$u>S!2`H^Q^3IC)o%c7R(ITB*2xdY9&9Ag@${lrAS3nb?IY%`Gvz4 zI(N$Pi^rAzkBVj!Mh%} zLH>^rhzIkBhhFEN`CfqUsfAoFQbGvH>3tvkJ`V+H627qULp&?a%IvG(`ki~8`{&Y& zHrTVyT*5~z3KxN^42L{@o%AuFHB zSVJgjRmH0Qtgey`$^$4#SPsDS%mp|Oe-?g2wx{8#L_6?R*T|DQ`&Yc7jff3>`dEYOP8u~1B{xueuhm;1u29Olb zJFdY}uy%g@S4lhN)YI(VyYIAHe|d|Yf5C<9pa!7P+MmA?J+SLveaC+AjThQ&zujr~Za!e2{^(`4Yi~aimQ=zLn?JwO zzVh`4oOe%rS;wtzw)Mv?u)n+PWQxCbxB$^#-u{@q=y|J9BL!q;_x~QJmf<-pW7~e) z{LntOsIWb~jrQr!e9~?I;%Bwny!C4=y`ag89{DwNF_7{hNgJNFq9<>)?81|562}HN zhWn;PgdPFFWUoqABUKUAUQUUxvUImqjqR}L^stRW_cK}qTPznZFR)ZZ!hZSf46LFh z#Fv#=ITBN2c*3efUeKs#A^^D5$BZ}E_c;L5?}e2Dqp&ECi0Nvg9N7qJ;Q$NQ7{yEU zGpUSoy4zjfvG(Vf1}u4B(tuI~0B1m$zk_I;6-_~TqX36U|HU|3tU*lEAjuDu{9Ki- zL8GXOu%afsK;=P7b^$~Z_Zgy$NrZnMGdZC0Azi6JfeHL=!}xAA$o36+n%(Xk0C^pOWQ{lJY(Fw#cOd71g^DNQBSF$f=1 z$9_xQ`C~ip#3lTq$29~2zWeeQ7f`4B>yt(SEK1M z>mx~C2KR!5rQSV8nfsAsOmhU{#xrVA!yOX2w@8*&Z=tc05LfLkA^+<>=f1R z!vH@G=hAq~NtUT>WZ`BKIpeT5kpxzL@(i<&{}OP*Q?>zBk;*y(E1$|y^>*3By+3t^ zdb!xoSq3n^VwHX1t2^zy^UtwIAG*&f>i^#M?D}f-Duh@Nj_z%}8az5Fh zydUJZRX=&JT?!Ajy50MR>|ke%%>-0&LMmeRn>!wNkHb6kUOn$Q8?pHi;!sIK_SYWf zaR*-%157J<_O4g`t)NgPMURZ69r#Jw<3Fqqqygc{O{GWdt#7%;7A$24Si1SL!Avq3isY8o zd;Qbk$hG_!QDq$st{POC#%Kv4586Ny72C3EI`Jeo*pyn~)Iatu>`P9UTF-PP(a%J^ z158l-S@qPQm8Xb>B0p?O#W$&}HtY{ze1 z5^i?gxi%BUQwDVkb?q%X)NhlUu^*x8s@(c?8VLqf@{+eb--2r%vv=-^=}EEcTfdd1uoQ*NFs~cE2z{{XOBJ+cl&pCHNKPl5)KFLP4Bqg-t#fH`BVNZ zUAh8loEf|N<^N#6xarrnyJN`Sbm=@>HlISKoL>=Yopn9oJbcqDPqC>LC*#P#^Va(l=_Mcj#=R1capLcdnG~F6*EE7pFpaP zr{e^}O1jEP!#bg3v>o$+rTZ?sf`Dpn%YP=;2H6g3LS@!O&|$e~PaIImz3hqfPMmk3 z#Xh7GFz8geDZ{GUGY%E-IIFx2ul%yYpR)RyL#+5Q=#x3Fyg#UPlow`@&e$00$7n^X zv!Tp_mC!-zDNn%zPnvK4{ZO62pS9`?s_kIclC2G}@=-l{@`0O~XlzK};|zm6K!u;u z(=Nm#R%WL~WA-nXud$EaJ!ThObdK%Z{)8=Db~R^po2^*hf(J0K6Y_iYiIb+Jrbtim z*#_7e5>#)#|M7mzJ=zB6B$O!0TzZdUwG@A)cp;C%M}pyDqx{ea<*8h?XHJg*+>sHA z$U4B6`V5nXys;x(OHQiE18DwP$J@AJ5i~ghcg~P5DJqKCqmOU3C96JR!}uot1w;Gl zlQ-Gz%)go-Q`fS|l{Bb;ZlQus%jP!)Go{AD6D!VB5E!{G&n>^s+ zeF_BKgOu2V+T0k4U}%qU4S0MpC3xV-_@b}Xy{=k03sy>DC~h@S4;6Fe`G;ju)PxJ(Mo9uCX9NrOq7dY8N&Z z$5AJ+zo-_XfJ!kv49ozlyVSvvDkKmB6aB2Lih6g0lnoU2;72tm?Rd;paG9!Uv#F(< zNVvs@Be23#=!0T8`^c||=Yl>5wa_mhm?9DTgo~}HX}*m=aHmZic*M@zhyj15+dlm> zBPsfLyLly`4l~i2**MGau4)!MP*{9A& zB2^#MQJJ~iRxhfyAMeHJby~ZfleB*QSz_X^3QDTZSEEa zedjqT)W`YP-nNoJCJ8NZ{RSeWu{$n;`5`&kV*mE}&$;bi_L5Vr=_J&6{{YkDvfW4_qSd zxo4^xsX1cJfL3M6gp~(i>cB%hk_lNChIgrh@)YXK-R1GeNnG@uc(3-3!~w1(z(q(6 zbj`)6`pyQ*#VO`W51A@dY+^2GyNf(V|9B(f7`^zTUAE|FBpuX|hOwFyjU{C7M?+z! zmUsdOt1&?4iRZu@K*ca*PQ_&<>Jx7u&@0)A1sr%E;~}<2y_b z{wMB$>`%Fe#0p^}@ezq#yyRpnTDr!jI`-JqE{x3;O}Iga?6Ox~Zf|_&tMEci+2{Y` z^YCc>gS9Qanta3??ZgvSI?tD;=H|!t+k0Mr7NG@=RInMLG}snEslu+lY=!Ob8nG&< zUR2L9cJ|;Sdu-R9PTRe=({}6%*q*%y?L!~=H;SX~wXc5lYxdk1 zJ=Zp#u@15fq>=@T%(vn!K%8Q=NHMM$geZ}roC)o@7h=AcW$?fz7j7c`sT^sF7&#$~ z0H4>j@h7bo@1Q_Rsa5I|HN96WQdkNEe0#Ux+KxRP)*Zv+i>*>&3`)+|;yI3Vb)@vKV;`%nAYsk9^BN$P zp(<3rdeU*C3>w8_DbZAeO-bey@Bjcn07*naRDWc@(V*2Zl;k8;3DF;bPzp-VSdk%s zIB{OXI*7r2K{O5Z45|+Ub7~rJ0idKc26&dVFz9cyfqnoyNuyB#kH1 z1(h#F5GHGvSgPfC0FV91w#D~8)V|^!_mQqMhyfmcC#i1?a({gr<&_DJL`|H2{I`TT zP;h}9MPKt$3$0vZ&zry4b~E|^^FP0A<&mhp_dWk?D_1PEcf9L8*3`brdJe|y=U=+g z7BoifHl7ne4f6Z43ZFse9dEoW7`lK(|_MI^*>Qv@bL-I0-(v>99KQLm;mXNQ9cM*e} zRaci;ExCdMx>}AiE}m-I=RW=d>&A#b3S+|zYW0aHz1`NXU2WG~^9uL<smpbSLjJls6^M49}#Sjn}i5RV%`f@GR zEes-(Z5cocOYjDZhL=D}=3fS!11=2etb_uv0SM|kM`u_q*~)<%(<}t-a8$WrsDD&V z!A!!c4M-u774tk!QG#xNJtV@c_)G!~;rz<2*E8(949{1z?{V{ukJvb1H8yXpQx8Eu zcE*m_PjJtJ@C|pP$wisKe2#SJ$KIAb?kpEgpMCOPBrl4v!mH#%^(GF!W>#@1c-UAyd(wRYF7*V^glf6}ge z*?-v&zWxuk<^I>%>WyDQGK$&CR&q(usrA1_?A^8p-+8*DsWYa3!z$KNhn0r3OJ?+M8t>|`ntPAa_J)l{5%r`-W22hgJm$=jf>_9Sj zil@jE>glGO>ZkWo0|i#%;NA{%!R}$=j-0-z^5bSptMGVLO{fYK1JFx;b!r;wLU9ps zN(49VooZnkr~tXQ@(MP+23Qe;6CEG0s-ef>3{TnwV3lY-4zS`pn1GV>)ItZaX~jA) z9wQC9@Cj^Ps42Gc49iy3m~S5yiwE{l&Pu60aVuVaiuvGuQmm2eN=?l*_SVZD=CHC{rU+9=g&;0vu+PzN>Qwy-g5=_cN zBLE=m4^rRM^sLik`r0`ce}?C*-FfTV^?_Y^^?wtG)niX?ehu$RY}<|zTfMx}9(r_# z-SX?L_D^p)htF%Qr*{l*;e7kV=N`00tNz`7^s^`J#52Bb7e4QM#ElKuiKqRCEn4#) z+i=EE(UKjHTvAlTEH2Q+>2UK#{^9q>>?;jENm-7~5fwto(4P7y)laIRwqO9GhKX-!|Inyy>5sEwdXgAp zjmYLATU{qA8tu!AwQw0vJ_` zEmpUZbKv+=_ndx89vW#l#UuNmnvv?tx2P}5OSJYAY^Je|vi4o(hvC6b@S$AxMK$xy zzi5>Qc5G=zkG}VJb`I^!_UVtDgV8=>U;Nx9cEfjGXaD}mi*Xjb+&)5Pmt+qf-s}=3N z4=|_LhfNXur%-Gg}2^&t!NUuctfHmO2S>4zGYeS_Q zUm9luCG}Ij<$OM9V2ZwXm9%nAcMPl=B`6=y<47O$Phbu6Q+o6=n5y@WXfBFr916ZJ6k` zn(_V4la{JjY{OMcIWwJ|2UhYJMv$6KSrOL+PrO18#Eqp#4XHO(8S%xF@l;Z0y`|W{)VB__*oJ+IZbk{t z%d3X08oHQ#fz+n}j=Lh{NV-K8mv#)MY!6ZV<4zKVs)&8I)zsUn#ulr@2_P?^?05x$ z%5~iT@Wh=gw0sX}&}l7>5vUi%*5M5zRz?PDj2yJiSTCtNX$-1bE!mbq)(m@Pb2*eN zthm$ImgMwyoZNipR&e$>lrFLT@t~ulk!q0#Djio7ULGhx+x%>|g|n>ks3Ec70vjl8 zW@1U*5@2cW1}sWBsu12nk^cFy(KyxzyoECm%qhJ@=upx@tQPh!V=`p9mhAl1HdWv3 zCbW(yV|}*wpk)s|Y9+^CMmmtZVl#H}b2lOu?6CKK;VwJ0hTbk_j3qE6y-}6p;{j1j4 z&A-})diZ6#`PP>`_Zbd3g^4DPaJsgh0+bR;Q42KMytw{3m< zk-jKIFA0j(x{N@>mG$-3icLbIzT#&X@eEEzKN6N_R3(}lpxQYMaU646=^96Zl}bJ- zrK7E=hoI6CgaK%lOWSQAmlY}a~Dn6wSRxS+x*RM zf48mQc&;6HJVi*yARjC#u^PZx5%1MJH=_GKzI}km@=?n3NO82slD)u<81B9MvKL|;maDZB~Uo`$Ffwq(QlDdsB@T)mKRvP z>mAqPg)FuAz5fHYuBz5HwgpkaJ)`+Bq zS5@SD0hRUAA|!DOyH*`>YE9PX77?mR+Wx8emZt2y3*L9zVJ6^yNP3=MK4B}W;q>R) zbdQBkbDPGL9;(75iGA$O(oDZr|XV8gZ`g*s#@wxV;8$QKDlWY$UfwP*P!4V2R!M{eQ4;eD5*Wk3oV;@BZChd-SnG_OqM!@q12>?ZnI=UUwIe z%V#dq-W`iL0bCYmopp}gd#^$$%wG7C<85AYr;Wwtqs`Xlq)5&Lv1xq%8Y`xNZE$d> zi?b5nn5sI<&@OJPk=o;|esl|1#xlY^lYu=zn1y~@;~QYX@>$n(JsArD+dJN1ZSb4b zP;kU0x99G;i1{i@v$m4dp#3qi&??Q#1FpIETdxIXTJT0$1h@8eSQ9|iR56ch1~6IZ z>Y`K<8iy*VU~Jc|J!;F3yrX`3tbQozC`|%#FA|NKz-kKnNQC&El@0B-psL;tFsVF# z=@V?P)i&=v>>r!POEgL00nd)1!8&*FYT!;X(94S_ZAo+x*Ebs?CH2hA1FWiYo1vxo) zo|3Kt-P_17Bw2c1J7~a}=^Ehu3XB}&gd;AF>3JuXa+VGD#%r#z3e=d_Uh`7k3#?Er zjod}|5Ks{-g-Yo_OhD?uR9Be4V!amV&_hTkr=HYi>sB|C`Y82T0F~cX(gwd`JAEQ) zB;ORP<)!qD+Dl(@CHaQs_OXwA&?=D*Pgn_vacw1CT)VP-(oYex#=G z;5O)~hY)@x8F5^^aA_!hhRRpwz{=~>JaEkr&QMKeLVIw)*&M~KzL((8{&cwmGMA3! z?zs|`kpS_QE~^b#OS$p`rRH-g`3soytOc!z@uD%-J=$+ud$!vEgn}|B3_ua2Kv8{H zXh-jXf`oPSeqpdFtwM%&Pikt2*1LexAj@YyRl*xs8vd-zj4{>tJ1~;MAg{s#{GJgz zXWqFZX%Nd$TU$D5?G>W{3lZH@#nw4dX#-Q?Sx$HdR>)b=nK5ge*ymP#fudrzTr?N} zH4$mB1d;D*5lT@NjSrHR1KkPWs-mpvW~#sdMvgK?8wuq4yDShtVACzwb;_9R3P4qA z&rlC1mcpbHB@cVT7Pi;hwQsJrPyX8t_VDA|?34fc3VYR=-2^r^0N?-v9x~TA%*?e* zs_k1h@3Q`3*dp??^f2CVV94x{;0_!dw#^S7w0#E%5?xGjSb05X+u@ zk&*3IF}%a38rGA;DS-9pjuR9>4TP6k(+nP0XIy9Dkrc`em946h3OI|2+~1q5M&W$7(_)>r>50X(v>`jW0YUoJ3NA& zYs%RxG~|vPc6qQ8e^zU`w~|hg&e1`_?BE2{%*6h9M?|t>B4Ez8=IlW?kx)trbOea_ zTvK2gmVsC$g(^t%i7;8qM)x_cc(fZA;hl!&e&k+q4XQaPMh9XP?A34nrrrFbw-Wa7 zdaiMa-Ts^3xL>c|wAzaC*eT1vkMtWF*lAN_DTw{TqlFP@!NXtGMzx{@JXVB@jPAAm z$`!7kb$=8G7Yo4m9%#2FUl+#{Sjj6a;KJ@Cb)4Fh%gI*Q9jn0Go3*ZF3C{s*uLShi zRv|31V`|}&EwdWCT?naj-!K8L8Twr4OFMErs83{rEb_tcj(1uMpvGIFJ$(-xF>;=M z!(;UuftC_bWqjAO7wV8x0rBWa4eT8qwXOY7=t2=AmWCq-W_}3DDBBW+-@FpFj6pmF zo5P-1r45b~4lxI;L_rhsO%T>)9=lIEw+aA?$34MA~lp!Smc#7hUmLqTNev<+6Ia;iq>yi&@p0 z^-$~71K}(rQkRLZDjV86yDRSn#{&rB)s#ystEOng5K-q{F5XHfqy<8tMV6xt_?jhz z(g!RG^tbvt!1IhRh&f=!mRBbUVe#au0V*c#7=jFlmk8l+ zkg!lCX)=MWT~uZ5^P-N)!JBqR11xRL#&m@1qTC<%4KYwg>KbwcT|6 z8|>oef5ATf?_2CcwL`XR>-~1xStnVrJZj^Sg%%>u(p@GJV(D0yO(vV*%CB=Op}vrj zJwxHsSah+~r*>M!R4;0H7{<_A2UZ?vOxcZi z!t>MhKmo9xu4i(eYg%i7jgf?0iib|D0e%duG3Z=6hPyD%Bl63To1cht_UFKgktiW& zX>|#zf+nMC>_O%>L=fYoXqdeToZq&H_lqM#7NTgyB(^P8XOUM*lM0ImtfdVkOgFfr zR8bdC62l}DBA~oE4DG2%o2AQY4}+D?3m^(gx=Ih&1U9d!ys8Mu`pGjaX2MGynQ(;#p>Am^CRT~oN3iTI{Xu*An>X{Wmq#ZLch+|N+9meL6Mgp1SFW~{b~>dxX*=xw1sUUJL#;C*+aj3hyD25SKAlAsiGcaA6zlgHFmxSEx$L zIRum@Nn4Sm#`JSiTL~Vj0Qq&(MIlr)_JiYK23lqj3lnu5at1Q(^(s-qI?Y{ z%xYH<>a@^(E*sY3`4#qq--N6lg8PL{AwT0 z+{V1uXKLk9m^o|e?1VGFMtaY4?cYCortR%gXa&B?QWBQ|saWY^8?R}%(#aubb3!kM z95G=PsY5mdc;^`~1e21q28$alJcCEoKW6g+P-D-uSO)1y3Y`wCs!0cuwk*L4)yAJzk&1pkNq=9t@B16hMsF*2PaorF6OROr+*Px?BtL$s};z)JzMm369h z2z4=2f?#LcJ_J((V1=2;O%VOo%}=~;iz`!(BVINW*-ktcJF7LX2@taGY?GT@L1cqQ zJLIg`z-rNc?o%c&8YuAFZf)i{**O`wHZR{}GD!X+eC zI28z0ccucm8$d6>#PVa*R}-dGkSp0vg_-%3f?v=ObS{e(OeHZkQ7w*_?rRuFcR~WwUl7H z;{?1dJeb$wus0sXV2;E_zq)c<+&_5%xhVh<{muPYS`)H5^h7O83eVUJgKEd{DBF2z zAw9rVXo_J1fUr-6OPWXvYNFKGVTD{*T4>L-2MQ9_Gwdl1FqI4!;hOm0HN^9SeC{qZ zNkzg|jsbgVZMBPlMr?uEVbXR|pIn1B&xw@(oxorbhWKiDl%{c+Yn9g`?fAH`l_>YH zJ5y^3xZyRKngXbds71gHb`sIt5IkB8RZVe?lOjB&OF)P{43#yU>}C>E)B@E>(wT_r zRDvu>`c82M_5tFQn1$YNA+nnS)ciOZiY7)ag*QyCx*}q~BJb~Y@7u{cNta&2v%aGQ z4G;Fg#MDu%>$nE`$k89WNei%Zk&;uM+}>xq_7B6>i|rn2|S3{AZthuqK^e;l6PfzpCE)pVsiU@z0jr@p0|Uf3HY+HMnGJKnN)tn#!*-H+>%)X95K-;+ z&vxv}{V6HVT3<410~4NZR#!AekHO45waNZ04 z+kN!H=PXC9J4hghUos<3a=s%EG$>93x(Q|a1^0JFiVh=ozT>jI-zTbv?*q|^>22`$N~={j?S zK+>v804)k@z-uMLyTHnmvOLL2Al6aJEC~bOI4M5kNLDci za?+MG3DpDxqRw4V?k7bP}3OT${wTNQ*!Q*i;663lmprqKkIt zIabu@N{2~$aA3r?PP(EBartCFW}P6Dy4k5m4sO|R5jMF}z|0RFa1r(zmzKi5rZBk6 z##NGubB;J0#yzkohZbcd7PmfP%?4uQ7E2)2iA9t8iB(jAQEXL^7%Sx(+Sn9%cOKBW z6W5*qA;n>}wRjE#&u*pO;Nbu&xBVQz(pTy!$D+!sX>3FzBc5beS&l)R>k9g?WlapT zDbiRe`vz>4UK2o77NFJn`+*`PO8Pb5w5>C+k5^b~hI~R|8N>`*6@(QwM;W6-OKlfc z?iz+|gYX4M*yyAyEi8b%11~ScrM0@++A6D&qF_-4w2}n&Ztw4);*I$2{qA!~SfgoG zbf$LXESTrds_^_wdY~X-J(FH~2HPm8v>Dq(OLZd?khmZQWIypudxkJ-V@DF8x%tPw zBt1>Ce9wzOsav5`4sDOLq{c>q2u+p=Cg{F+$gT8J3tP+KX$SSDa%!U@xl>b7>z`zW zp95Apk|t;s9z;#(bg;&fC6!LPa);pD$|hoX9mmN#B$^*}Mb)XZ<4TyQ6Jr^I8$Z0A9o1q75Xfajec2mlKjWQmVl zw`%~A2>uQn?DO99*Wr{p#l6UVobROkR{VTg9#C2liQ%!h__hFV`pyMy@>%&*za_FY zmh!`+A8fShY_AK^P}F!a1#BB^*bYuL&?AQdU1uc;>fl%blZ`=LY=;OVI55(e11oRv>qmL8s>cQ1 zRuy9KYce7Y@P(VVJgev`iW-zO#S}Tv;P%;qNE(B@>>${!h{e*+d`NM_9V%PbnNO^h7W-KxZ1urQi=uaMC5fqyVR#EA=%!(DWl^r96 zh5ZkDVGVUPQM>Y#GF$VRbC7ImY*ACG^^%J7-Cyp+5YF+CYDy(#Imt>k9$js|G0Ewe z3XJiO{_+iW-S?ib3>@vUQMt|LZ0yv-Iq!A#6VS$}$)G-rgr^oN6D^DaF-ib&B+2PG z-1xONOk{ios-Qfuh>h3+eO4V91JKHCcnWDUXQ$F}wJ0Pe1J;=c6U>|8+zngeBmto% zoR@b2`m0MC1I2a^!N*kxP_kbc;w52u(y*i~^`p<4D(jK1iwR-q;@Okx4vi9if_Hfw zdtx5Hbq$5~hxb4Mu>SBqoBf3*lW0w zcR7PxAmfc;0hwABB$8+9V4TUB@jk3Aog&~=E_SgV@Ed^|hW3F}xy2?!&L)!wD?xxF z65g(T zeYR*R@l~jXAOF&w_KoXrw;&1C=Pr-HzM%6XHVsil#%1A*$A`s>-1WGF@<(rz7|^3( ziqK-kme()`SNu-BkZZi0`&I~_hKi-Jz6Ob|*!svQP%Fbb=acG(up?Zk@(;t~PzhIl z(Bf2MZYUabDzF$s6^Pyxh*P*i-rB=WuVu69O%($u1dN6O)l$E;VN=|TASdUnw$VfC zY>beMJ%leT!I`2sE2-U*v;dT>_#vHJ3=m4K_d?ea2yqS8Iq+MwL_a0D> z7ux@^2Q-j10F_}c?~hj84ia!h#-`xFxlIz3lA|l}9xkqhZ=C_{*{k%-!>Ji!z$~)C z6q)>E8tA|Q^Psf?m63htZChf9m{bu9auA(Ik#E)XS6~%}#i6n&fe9^0DfL=pEkYwW ziq2PCmCwNMj@aNrBAD?m6$MXWhEnX>M=3n=K_a&me(~^=`)%X#E3jFm0IOTAy{!eB z;7Pmb?tXi4#}Hd9;hDTY_NOBpJ`)`)-+)O*nn?Jz>>RM3KIP2`n7lLcAmQ+~bKh&< z@mS2-+84189~E=@Z2g)xE29#$3+F)UBB9Vi6f>3!1^P5P-=~A6Mdt-NLC%N8T2}pFb@yf zy(XQww8BiRrFF0!Fo7iLxVRn%L;@{*CN* zsgb^_ixZA(eViQ4q3KE&r{+Q&1Zo77Hbzh#sW`1uoC{id4K~@nn3y=&mc^unNyY?G-Joc<%Z`=^CTpyb)M5VBdA2oS~@ArD~*jHWJBgDnc}kMDs3VEvJO zs9`A8ZxpZEFnmk0Up)n^814eAAfGR-U%;fLggl_)XHqyd!l@PlD;a71aDPdScW*Fbg@%s^3y<*YMT8W`!{n88C8u1Xs~!3se&dcqBa_^hqn*f zTdrJTFML*;edQOsDQJ3tg0sa=(sEnn0L~p+Q=W-0kdiISc?fy!NmzV02cTwwEPu3| z9jKbnfxPj(qMjHh3d!mkRTM-LA{RqGAJ<;&MZyne%BUlVJx+k8dqV#y-&1u1p9)tx zTYk=IjNuYI7=OYucs1a?ra>-)yn+Om*0s9y41tPPa8DhS z)FjnHw;_OcDNRzXXKShmLca?YvGNGL?Ey6=-2~we2S;(~dr06NDEC)KZVIQN6DGt0 z&ZnVCc;DHBCa9*IfJ^*NqG8TI1X_|((tc7(*rpT}PqAMDtf83aA*`#TX5WbCovSGI zQ6*>C-uV2LcGY?9_Qjvev6?VbKd3N^`3Mv)&9`1+S>G3q@Gxw4|LI6GT z&AraO=P3XnsWmnLQn}Z~)eSIJ;2A{?RUrvSYKRmi0ILh7NCw9_fnBbDJmRDvsd>6T zqUfoB9kjj-dmY`92O;fWN0bM)k80R`3DkQQl2X*g)WQ}(jZAY30Ud4h4!cGfkJQo>H3m^J$G2=4bWzbYO%SXa;bW|)H#9E?1PCR zuTpnBg%N!m13FvqXs?3PiSM+Xi|KNcF2KqT_ZEVWB!c|WH1UKF<;BxTS6H#wUwkq` z$b&$RFKLqM!huO>Qjjo^I6Mj!Y-YqD&5)*&B6eP|7WQ)vQrVD3y0A5=MW_tj(+j~L z?Y>7w?Tvr8(q3|28(_7|zJAl=4y@jN)hfI7{%-roH@DdGg=Nt3Ci%z=_lC3iaB zhiu~dOdys2LO+}H(||k>G_j0IC3LT&rPPQTPyU1?Jyn%ZL<2*#Y8d9Fk^t{B{xIqjaaG75Wdx@NIJN>PyZcnBMt~K8t>KV+ z{pKs@*-M_?ZvXMq-43jtd*%vz_f;$H7QpJgUwPDyTUrer4_BH8AonZ&07{C(64kLQ zyeg35zf9onT4pb3_645YB@jV4Y>E2-ya9P=vFx?O?qZmQdftm9pG65wO2;4g39(%*#DdSDBDP~SZ z5Dz3l=90)pAOsvEz9=t+Ns>z|%@xujGpC^$dlA*OYY_?BQAQSmdi=k7=zY1q-qdp~hSN|IL7cPf@qSu*AF;p8oI(g+h>DJ(O9Y66l#NkCE=#o7>{8gi1DhPyX! zv(q-6z-Ixw?{~M^3!b&get1WRedCsc>@{jvozrTMQuX=0Uwy#VlgvDD1Xv*~I?tib zB17P172ZU72pu@fh{Q3)$RN5IEb2O4r^0%LDR{%lD_UJG3}8)?c&-*C>fTQ%!U#Y# znN=XI`bvN$K$6-QaCRyIr(mmROrWFGCvB5|7B4$&3~b}|l=kd3VX36uegLv}6pt#u z@zZaMYH^xij}(8X`ZeD?DN)R{h5W#LpZvL=3xM_K>RI+H(2^0hFVRm<9+BfR%3@TP zH%Y7ZeiC^Tup%gRN3&)j8U97M!8IN7ArFenl0B$%6x$Lj|J=!?Nh8};1p%BD3fN*& z#>R`;r|e*dC!(a7nvfUEB@lGd2-2MbF(-)UO1o5_EU`*Np94-s0M>BavjZ(?Z3Rd@ z+ffp`&UR98ygeuJe$LpgZmEqGmOu^U^k$nTeGL_4w(gP|N7Wx32%{uFFF*hL_Q~sh zXH9hiplRE%s?OG;MxK329oq$L<)Ui9Pp<_U?#cZLBHiRw!cwB#b$u!L_2W>$$gnN; z1of#vNm5pXII>C+NYG-OcP#AzzmB1Y)a__#DL@}$!H~TB)TZ;7y4MjKBp)#CeiJH* zlIRTMgAH{)AC^bC^(8BP- zOHGn3M{alz40-0gjM56GRK#~Q$dp$F9c(;?oK$aje&=NltiJHA?d&mNKmXDTT_KAf-FCnR@r>R_ zUBXmapjcto{qRZq-c37rU1MWuBv030{EB18N4@h1<_fH`5rLe9djLM(H6cAoh2|lt zsFC1LZ-7%MgM@4`hN?dgtA+vWQ&HmMq;mg0XsQ2nx5N}W4!FvO6{UcO_^f2Fdb<0e z^D4AIPY-Bj6xyG$2Q=V4=rB~KL0(hy5Tk5&ya$jFSSfC*+!`Tvlf>dpJTeJMh!#K$ zBoyC;s~#!L+n3v+n=Inis$%k1KoAHU9|VIuq&9j2o7+aU3hxC{qCVId&`G!vDdzMqrLKZYixga+)jPr&1^eqTMvxccW)mc{wqzq zSBc&J04$*Fx4vFnQc`E7)S(8F6PXDAHtV3Yr;0Ir0t-FMxxXGo;Qc zD&7pE-j1@*p%ftvQE$Iexdl;@G8a@-!l*&YPaf&r5k0UHV}@w350JsoQr+T7v?Pd+ zq+-r4S&*u7o%I)bDo9v=p^nyJWI!HF4p>*L%e|H@L^hG;O1P{56G=J>K$L1Dui&@> z8Do^McT(E#pQvmnO;Nbm*;ZUyy-CGQ9ELT$!eoT8oynP#IwZYG%Fu)zhciA2ue>CM zFx3|nSm|S51iM?uK`+U;fixmZ59bc98j2(VcPH)EM*tZRLx7lX0XAj znfB~Wt@eR0J!s##agTlXQ2V8GHLx%Wcn(F0~5*!M?se z`}RlAv48vLP1fJvYn50|E+LU*-1`l8j6|iMXJW^_s@~$|#k#yWqV<+Kc@8ieUZ8QPf6T>u?dcR4W$j=OG{ep=CT}V-7*XSD>XXs92UsPg3Qy3{yyFdH zVrq&i4->El_;rSQNL8!|k!+1^E}ntE3(wyGJk|5Cr-@mCU%UR6RJ)+E4hc2Qg5m9# zFK3%TOF4nVsbOqkG5Q`)syd?3{^C7Q==HyNmwN})Bv6FAqN4L&M1j+U^Mt`eMd`5q zv6u&9xyhM7RZS^rFY}`1PP&q9suzQHeiG-m)7-0p1ymA;_c(07k^~aZXo7oESQ$Bf zGpK`-u;SFO+I-J8^DjGv2@jPo1uR^10OfEh|919J`4`@)BE8}L3prbp&p!S2N9~pG zy9=-ywRJ0?y218UiV7DcykQJ*9D)$AvsZ>~(vC(aF!;-UrgOu)NFmY&BJE`}isjOS zWY1C`=pnT*iI^qYE&-4F!t)qP$`Sx$%ZiGli){h4p2Qe^j0}s^r0*q_DP*LKiq}o$ zb%2pQX}lc14I-2Bf3J_ylTEh6(9esI-lY$Yr?MD)59!ZIk3C+m2p|2VSW& zi3uzJhollN7?eEswJ&!paxJT3SSjUX`*q-SJqbe!^rTktvl{GXLRp} zT4u$H#V%OXA+7s`_h&Fg*aYD0Nj@B%#lT6i0EIw$zkpFC{Zw9(a@U(-LFh{)Z2@_L zj%QvW5o{@gd_6>aL(mtCWKW=U^ecS2E1z>Rg_nP}9w-3TpRH@3*^V_a6u&co$1ut& zUkxqE08!JPiYO`IsnbU91aytjYFWW^Ln0X%@QmBb7B0Z9gm-h~QGUDB0jaJ^U?i2*P2Kzf^~G%S zzVpt#)E>Lxa=ZP(LsZ%c+nOac_UKNSP?6{)X;ndWECRs&!-~TKPz7=h=yaV(pr~@S zNLZ4p9^bOx&f3(DfnA{s-g)To0_r#tU3!v=%d_8kK%}iCO*KR-EIud>dp}i=Vpa>4 zbt!BLQafccRIsY-dW{e4~RXp>V3rBW*VK?o&=f-;IZ$X?{4%AvUF==8jTRaKQX)VG5` z(sJ$9p_PpCEj2+qV@0J?D-X+bPd!_mtH4NY9|reKvBQ=ZeunLL-PbjyEu!D-t1SFSNg|9w-3TU)TM3TCj4`73yDAX$7hb zxqnCvDWnD2T#l5+B%#!VA?_pXW`@b=(boVWND|-^MrsOE5T2l>bRgNyBiW@)69 z?K`@>??RCDbswc5{KI~6&`~vqnur*#1&(|~(<`qDsGMpiDMt^Q!XIRpdhx~U z+&%^<52h3#ZK_Q~>eotc(dWOr&3*6f)B_s*J`xUD3er5aAoS8v&MTBiTY|cN3V+p6 z#Bhr}i zhp$P;EwsOm9w-3TU&np;U(Z57KynFT43P5SO%%0CUt)*}FPqe1TbF>Rlwin8qUKd# z!jn=w`xWpY@dJBL0>IN}5dI-u$&;qUY_W4D#>VWHTOPEtPCMECcA$Hg3#?S}R6!Iu z!*~xKqVGCDO%1v2I7QC&!2Ss=%_4@6Er?=K^5;b>5ewoRz$L0VWsH31B6o z{0K2YbEPXMg<;F7N4g3+DNOl;n$Vi~zzv3XNlByFukz}aCcc-dqRn6#CB&c)j_dIw2uB{~wVD$Y1`@DR{S3Va`d6K%-!ca8JF;D^H=%HMyjy@MSN?nbO zQyrQrJIcXSh=^={dg%KA??V{cLzLW7K~wd?KvHGLyx2Ehu${Inw7=FKC;--9>z(<% z^HLz>43YAK80Il#^IG7O2Oe#%$tGJ)6;*u1PT);F{S~t4I{*(gQWIJ*ra;v)fNj>` z4iF4dp;~Rpk|mByJxLy+Y(j4DZiiXGO5qSPx=V67+5~6s`aJiYY)#^GfB8kNPzTT0 zFtJt&Wl&R<8HF@7lMMa6U-dbO&HHNHUUkuY`}^~k^V=dzqK2wPuwTi37Y>Gou?Gr(_1Ab;{)clCAdTU{ zlH`=1sM=i9U+RwlD1?_w6^Ipg#pXQA1IRSxO#(G&5(BgBT4B_|Vx$cxRcJ$0$j(m1 zNEx|&4TLvP1Qr!gwlJfFmiW`D-e=$br**cchw|>=fFwM{Sm{|M$UKRT&m3TBPrO7F zQvuR-gfzsY63T`(s}g2W35%>98qjsi%24A7%=9!tJL|+o+p%Yx?K?1Jr>qsQlKO)I zUJ{n}S6&LSAjb3JoJuSpQXJL@)s=%>mzXjniB1qLFM!uWHY&Az-xVY(*ByT|e+q#0 zH*>2FpXkwp8i6E0q7pU%*_xEnA`H^Dn#58kRiHIS2!tl94Db=86u;uMkU*UDK^Dy3 z{RFJiDk>`MwqM?E<9A@BUwSv6jM(@{#vZ(<%iejxdh6>QvD7H4RYEz3eb%uLgFUYn zHOr%zq);l-P0q8Y<0VLmIpHNg;X46_CoShLcLul|;Lpa58Z zOLr@Gu5(qI{OM~l3YZck=lT+=!0fS|%D60vMZuy1F->G`r(n_uJoEVQoK)lk2*xR= zwR=yO+hucevz>qT8CJ5P-I}U`c5Pj+EnFY5*9QA-vMFf8LxZ-d4QA4+F*{>s*s7?+ zlf;uZhSA$!k+I`fw_qm{@JQ<6Sa8kXb=`Rm#71FVaP<@=^&owfQckPBDr_6Bz8S9_ zCCYqBel-P41XXEfNEF9rC$&&5K}j_k(&tK#LO*Q7R z4Hp7RfTAQk1z<`DiZ(~=q?Sqh)hTbZ1@&QDbY|9?YAARr`Un6;0OQnDcQJVMuw~uz zySLhsX3EA-X6?qcaa%lJg(u#PJQlXi_ucKb+`V_dji#tvT~y}OJ6&Uf>lFyk1#+o> zY6XyT-SGd+p8{b0KXWU+3(>??n;=>~Gaf_Ih&%AAgu_XdT)dbpVFv66gf~ciEW$e& zDhW{;XUHCW_zCy>H@@j@?)jPiDW)LAg0^{9U0qJ-LCAItWo>y=*yhzlF!B=)0j+Tg ziA((Jsud`SNxW7?0HwTpGPKuHaaj@cQr<*3_zPmK#4_65xzA}?Px$}K@c*^<9e{OS<=KxluB5BIw`9qZV|mZSJ07vK$qp$YAtiwpNTJXsKuiBZ z0|i<_p->>uLLnp}i;%>LGn_b%?Rf94;i0|f)n5PeobP_pwY+3Zi7b7`*8TPw?>Xl= z@B5zjyr+%=qpa5D#Z?msgU~nJ{5tPlo)j3jwcuIbf$8!rg_G;EQb1gc(cO^qkse2S zszDtN_aLM_lbh50Qc%Z7CZM6Z6>HvplS_SS$t6*T#0@gKsEg{Q9aSgS^mXwsm|MX6 zQ#s$>L9+^alV~QObkf*V`l=3Enm5)pqpp(MUUk!NRFmZz=B$~!h?}9@(re*$GjPN0 zi?L|MY?g_o;(u$6q~J;=b;4MnfCE@#p_W-jiwik(Y|?$rJZg3^HD)}GF&nt?LOI1n zI`X4_$(Sv8Ka=rhMpTAb$EX>|qMGC6(wdDjU+>BLK}Tl?-x*KkKX+=T{gVJv@fgEY z&Q>;ZRhH*FNA9dZHIoU zHXD$~NEJH8Bn2FiaEvqq!e4HI~bQT>wUA*NjKIv zu7_Y^IsFr+3HV%e@e&ymX1pfI+(FBTq&MrPM~X07>Bsd(qAT2E(oi~+l5z-40d%+A z#@W3Nno6`In4F!fQ?rJ`F~-dQvdr)1wBaC!Xl|Q#oS(5n0f)mHJ9T~Dl3GHQbU}ii z^9ONL;*R9v`LtlLg-dEEVru42fTUSG%XqWPh@N`iW0t}2#QVO)RonEy;`n6KWz8_! z@$0_+J7H{7z~QjQcKr{O-h!zMgOxroV%|`&G7l^+Ox_Oc(B4BuzX2QChEcDjASJsA zUapP8A>*`enO}*QK9|m^Ljjut4q(}oaE^a63iyg`en#tH0gyd|c_tk3j)PEtGWw6^ zh#bHg&9yrM%H|7BzULz#Yfcs}Lkf6#^UE;jPL>@CI20Ij6mS4*%vHHlV21*iF9jUH zx_s--$+<&;F-HLhu*O`KI|X(qaQRZe0j$fn?wp)E6c}?97-h4BnjSY+KgL!_)9bSD zv2A=bbFS%gEg>=g%v8GLBWcXFdZ)mbEd@p>U|pP1jzCCuhyHZ@B%8!O?k*#TZ(UvV z=goz?T-9NMo@r-cEhh;Kq?b%tYjaIoFa0aYdfNuJi>pmvi^q%m(s|pVfJ1?cqreCS ztl<^H02NWj70Asp2~u(l*WAQ~w%uX$L=aEkOA&C(ZMsWnvcg6`a7U@9r=@ z#g(BpI+ZIbZ^HKdg}ma2D3<_K}BB6l8MG>%xI}?`|N8p;d%aNE6ZK(ME_Jf#^9*^j- z5NzLDfE9~o;$&$hR$rCFeeineD!9WOCub*Nd`b+rU#~<~MjCZq9cIQ*;I(yM0XH8F z!2DTx$Vg7Yy6u|~8eKv$k^Y;yhQn!%j0$yf;ZVSr0+L7+d>5qqKEK?~FAI^-2CKS; zW;9i&;Pz`)!PDlUF3Jy)5#cC2QHuS?ijk5SjZ}hIdTJ6urX8Jh1g9CoHoEy$5a0#5 z{JBd@AUQSecx*yHN-L@egl%|n-9CKo?xl#0jWr%J-`TPQo&E=qmJ)Ry&^o_JXtftL znxXGqT0`=-LvI-d556L-Z`!{12j4#TnQ7<)*|zg;-SCaeY^G`+d*5NA_S@%<-B%zV zJf}qpgY~s<-mQ4-%$%8r^QGha>|8X{JHBP|{pM27Pp2be^A_X(8bEBI^5_1Il1k*l z+P-(GW%@9`AMt>tMiv<0hx#V!t7b4aImljJ5O>W2I{E#*c-wr`b|p-#f+Rd%*;#0v`OQUmK=xuM~|bZw3e=a zU06w-mo{kV;f!JcD|`L+eem?}LTd84KKbh&)B1>Ro9JgCukb5|P^7-65;?~rlR z9kN0{ultojyJuQ=&F|TNd`iH2wBq5$7@`^DxAHugzTVbMZjbUX`03fB_PMKe#Oh@G zVBWmhw;#(UPcy`N=T8|#D}jYS{|3@zp0!zQPY<0I(jHLd`;&l|GH(&f)RD_Yx6_Qf zxv`adMwTHfCk-L)Ad}{wvW$Q3xJEQ!S&huk=#wf-5^&4aE4d?!iy~bGe)!uBm^~>K z6EkCR<;+QFZfV8Z_x9qu&+NvY!`yB?m<=;35EJRLH_!_hJ!~K)+?A!RnVTcD^dL1W z1do0s4|iNQk28yr)LBVsuU^oHpFg{fI}xYi${CaJ+QzL23_FV0=tyJVb$SES`)3B_ zJs@BlYHK>N=>v~B_xIyXJ`f;wam)4zOn>UMFvdANk<+3FHwx|SLs==gMmuSBG@fg7 z)TG29goR1Z*N2m*y109DH?GJHLJYUq>Sm|(w&^o7?0bjt9Y5Yq|Lodem3C|FQ&SH& zfbBNG#n?=mir1e8dTD7vpk<4y=aPfsH2&ym>sMdbgp!jLsI6)+U=dF#AUTcdi&&PE zoW6jomw!XU>1|B;^2r!a$7!1(26La+{;VUtBLDfaM=Uv2iCtR`;l(G{AvH4rkNxoT z20TxTXK13A!S#sNVVO$n??&;-Al$TYi2*BZd!Ct^j8EJ=7mdvxg4Gq+u_`#ZWRw-&O8wRsezp%^c=ixp`MagKam5_A zgmHM{xp&b@{Z;^5a8pYQu3fkQ&%e`%uuyI<6%fLPF~l|SIVxI)s@t}E@U3q(BYXz8 zu(BjmrlB11uhoO!ecKPYxgi9w;em-d+M91R;F~{hL2!ycI=tLYC_7tgaWB63KsfII zcp~;6@ZiC3a98B6e%yC`5I*CK(r97l*Uy#gKf!5Q2J1n_$2?>2nhtc{DmHOEKl`d-mYLghYG>RgbtX@&yQBJOT9g-VqW(&JM`S z2|x^Yu@|`l36$N;TL25Xv9uTOz2A&GZi|(?I}nSBYRHc|yX^JWalrZY=1wf0rrm(F zOE$POHRxv^*h5N+6SZ@BNv8K2KKVDdV)aKB2Kf0!~eV$ix$U{Tk1n)TNnQ8 z;kihrcqd(s8j$|fEhNrVR5xMcfl|D@trpWVXlunr+eGn748)S9aroL3hpEi(#Mi%Y zE8G-4jUJ1gw7#*C3jG*l#?7LxY&#Oj&JRnBWBk&c)sxGSEoujWZhK`fa>%(vup>r? z_+d>!53b$chQ*7*Df(L5VhwF3DuTqarlwxJytWy+1V62rlR2x1${?;eKc%ZHgQE*r~@MK8%GSqE*pd zdt*ZujzeA8xBD;)%{*{&=%2p$!_$&~Y5k9po|QyA?&InW z5B~M5KgTZ4hTGw}xBmkPiE()T7aQ^PQ_q>(+czI1patXWfB$1#k(X`2>fe9%C=v;h zO5d3bB%UNeI@HJ78_6}fojgJVoz+f9jd-d=dF+9qK1 z^|2%HzqlvqW*)gQj3yV|*jmtqM1sJ~7(e{ai%m4qaA87D5cN~s@N`J$Q=gYK_J>#M zu=Z#tW+w%psIC{+%?!rF@A*NJp224?m7*`GCRlX`tZOi zH)10F@V{$3h|*}q2A~D;mlE{7^s76!9K`p&@k`8{J;i|4U3cAuWy_Z_Kjh-7YjE_) z5$XZm2nvb9#8ekoWJDNR2;Ayx8qF4I;);Itlb7(7#~w0q{{HE=4Oq>Xoo94jhxX^Q zYy@8pD3kK0kaoZ%w#{`fZ^JXcT7wLl?6kIYATBC_++CV^SNk~*4j`9O(hv9B5eZm^ zyYjRmCO8-IanU%ruZmliccQVi4Ri9wqnf)5-}>lVxN3Q<0V|QPn++x_)P;Zg)iyl1 zdZIC1cxUG+y!6`=$`)j2xb^uD@12g%-oFYrt(c3~*|@HGbPeXFhoX(6t}sHNwPCx_%*^UAq}MnQ54iG#Rz^TfAJBI@q}@Pz{6wBqG?)rE3~b z&fvCNW2kTFMaAP)sAgx1B$rk1p_2dCHTcSxk`T#`MD@f#yo$p`7U{k9jrhTuHZ08Y zM>9pq^l*Rt#ho!!rU&Akjcur5ToMnBCklSU{}wWMvv;0sns+5Om6UYgnYTQcpX`r= z)xG%SayMqr4#%le9cX8VH{22nED?De5x9q)ts!itK?lD+j^IqIDQ!BMQ`m|4-gzN_ z8SD#iA*}Wk;*S&(+$@_q-*2RDENkhPG}YtDvq2udZ#v z{rAQ*Io~fW`iTawyjqWEc2N{e4?t;sFBayyaOdrDXd;*Rz2{mG8_rxSNNNS0a4!t$o%;Fag!!Lrr!5E&JQAAR??m^3*Dl_m8Ejj+sdR0rDYG1MK3 z1@o`OzFh|pM~*8iDiig!4Ty@4LXdkL?pXOH{KtPkf;u(5Sz=Pb_%en4y< zSwS6CvuSnp{g{|B!DMb8XX>t;F#(y0vB;u<=y!jy4xlcII5W^GTofTski)uZb~66% zp&RkJ`&Q%ro7kBq24j32(TXGU7am-LU%&94iF4_dd3bpBcp?pAI;kz!N|{(g4g0RDAS?2C;H z#6y1(g`?zP)T!bqe!WxNjknh|u|Sq7g7TwhQi7j6*?}KDOOYm>vw!5;4)D9r-XBeo zAdsCz9GTv>g1%9{G%=ut$^V9fG`GF)3_a)_qa=Q(2@Mom6-NqZ=TI^Un4d2WB{J=z&%rgTc-*OZ~XqX!cvgwRed z0(+{g9T9WLGW}#-8})h~BNE$OlFS~Y|J=n{#>Zc2#lqA8BUVbZz3=WA1XEwwMwTng z4A)j!hUDU+E|pJLkju;lzWe4DUnev&@?9tE*~8W=00S{pDZcE1&*Pq@|{!rIFjEiRS!MkZD9nC^xN* zb0K#^9>w=|BTck<+L1dkmxD|(Dl5y7laq-rKJ;xo`SX{_kcLlU4#QMV?aKn+ zpOFh_CDHhi%P^9S(jsRkkTk)2ur5yjRpXWZ>jcdhe)?`Z^2h~lqn_=K<-tfvrl=+E zlLJUAMcZ>lLkvAFj1yRzzw-f>GN}4v#)o`wMW*z``C1~ouDV&b3+6}Q?pZF>G_#}g z-W1OGJ@Ybc?Hbh?{ft80#bZTz7oK>w2{Wm;Qv7?$d-3TzB9M_8G(a~=&nBp1E+MFH z+uJ&Dg6mWj)MoQ0<#7$G8wd9t!<4HMamBO@Y@ykWAHq>lRf@#8G-T$+BZX!;JuFus z)ffE)A+`U=ST~A`i^)yV(@1y_S~yeP+uwy?>ZjB$6m$%v!ZMm>EJ;bp2#GZ0L{)V) z=FOXjZ~V*GF=y^Pwx=S@nlsICX+QeTZ_(Du*>7^wX3#M)U0y#U4zMKFv{88;8{9Q*^xeW?)#F+Ozw#ibzq)=)-C zonJthk`;=l-Z+TXwpN-mgeq1x^e&2PT}X-5vYsAG1UpY-7hrW#aXhHcwO-bJ{jFLV ziK}QH&_<=Q@)|?N?bSCM*x=Q0t(mc1yFK{LmQG|u`=ODd;3A4P`c4rj4v9kQ*jCK^ zNH-azJJT~=4Uv}2bNfe%Sj{TFy}p@TmBi70WQ2^vm2<;XWE0f5*~GmUJm@|zWhB~5 zv96z6&D%kWbLqEwY15`>7yM%!b})Hmegw8{ltIOjTA(8O^cy{12u0|duZzTS&Q$8f zFmg-lX_l~lLyJ)=i*B0HTtiXuBqt?8gZyy3wiov;2r^)&!9wq-2Ub#@t(=Cc@>;B0`vG$E63LAL2?>daOLZGl z1rrB*Vt8~ofvN-_``EpB=&v3mXMKtQ(}tyvybv*BAcztEsQ9@ zG98dPYGucakBT?6j3H-r`*n-RDhHyHviUPxN;s-=hDxK+1*mOU-z!7#tv?*WHD7!c zH(&ECUS4;=m__t+7VAh+C2O2h{oYZ_j!ycpG|CGvZLUR0c@1jn>*@Jn8=ih`3(Bi% zkQ5gWk4K{0`6`?pj|J)?V37+q9o&wegD8Qa&xnTi-xh^~HPSzUN_am!y|at9TP+01 z0M6KT;g`QBmyl#t)1j(<-1Cu0>NU93g5Rsr3SebisXXgkG}NEkqUfVP`<|V?ebgu| zi}w8dHvG@V4rIsCY=JXqx6BXW^gBgvf|m{cWU>Z=#60#KV;~w;euPT%dUA8*Qu!C` zsYYz^Uc{a{fXMQrh^#nv#$SZFmyaku4{0djcT~{xpJo<~LZ8uVMqk{cg_BlER9+d} zPNd!1=a+}z5b35Du1xgDV=uMf0Bz4AXtJ_*Un_q4KDivu0!nunMp5ui>JD9;akS~e z)X25?6l$P!7k3gwQBY!5bwxc+5o`i!Cm|hGZEZF4a{%G-<7j6UfrDJ*s-OWcH6fS! zrf9?_a;DmDdnPMZemXijv3%JIa)T+Tt*gV`cYTy5EpCecT76*+4yqT4g`wdt#3Y4q z);!yYh3!-q#3j2C5ZQ#C+xB9`iWT_l&wSc|*4(RR;Atx5Uw-yoa`6Eaje`uwe{m)L zaNiyr8b92845Th@p`*he@i9@HO)KX*z#b}D1CUN{3T5SWWV>5&<R5dC-lgWVeMe5>n`;X(i#~qsy5{^i`-q zjY9|<>A3z-ieKt5gB*g1*!!cTrAv`Dwr0Bq`v&8n45bFAXVYhd;u8zo@b9N#*7S0bdR^MDR&S1sbtMqiq7kb%gf?699e&_`Rbk`$1AOf{{ zw;2$N<30Z#vNn9#20MKxdMGH*j7q9H#>GEBf)$N%P3+{L{mC`;k~?Iz8`C)&rwo+S ze8=x)k-mCW6m}fyL<{w6A=Fn*q#p2Tg1hXrUV6QW*RoLqww~z07=>;w>2h-serZWL#leF}Ajk7x|MnE$ zD??0pJZHYiam~9H={YeJ`N)Zq<~E(=DOaJTvl@Z!9^7~DU!uB(17vzM?dp!2XXE^p zdn+g(S}Pb&PAxtmf#wSl9O&Fw&%yO?zWQ;LP%?S>`8RRxO;_W_8_Mz8>uWG=MjrO> z%*UMhQy_g;Xt*2WC!`QaFArt>hyyGsi+g)rw1W!5{`?boYTaQf%|r3XCs(7as?k_( zOP_J>F!)SX@lzH=aQZ(Pi7{?`^rm^ppp|?+7fb*B58gyFr=iqv2b;iPtcL4~3}8d4 zGmEB+IfBYOpr)>o`iBH|CO7lh$i^lTo+SomL!cq0y8)MhcdY#BSEGF}NBvuFjKZG$ zYIKsLkx1+g?8n#ts}^of-A^KbmC_dKZkjOMctZ@u9s-7!^Rc7@^?Xb8vjEE5!I`$r zpeUW8c@%I+ceQ^Xt?l=8Vo{nuipX8vJ)e^lS3T~7yJC1&Kk^s(l1+5lbyF7}!lFgdo z7z1dUiFur}pWqD)8&<%b(opE3@Ohz!GoE7DM1S&!w{&E;~N2<+N)j7y@*5EkgB z@oft0xR*wvYY-P5k8sNTA$@VED5*s-C2oO@+Nd3DJE>qgkxZPxLAoZ9v%p-+GtnQl zEu86Q7+jYsT5){<+gEhFo7`GBInU`#+v4PQZ##@TKYlHW@{4ig*kN3A{bEEU_91&> z29i_b(B9sTxTGi=6Gxh|*q~|WWuzWQ6krL6#I;b^Fe2dGsX0hZjHh$G8g>|eie?@z zR+EC<>Y&~>eooq|5#GBz8-MYU1sp+Wp-t0>AN_hQKL6M@xF&>RCPhmvjP@UBxmHw) zSKJXOmQ~V8pu~skmdr3y$a+JgVi5H+5~&PrybjZoDBckyXimm>t+YCs-bX1qx;afP5mREEo=HE}&HU@!;E7M-%$iZp>6uBJ zN8cc@Yp3Y_&igHx5TP<~fk0~?mMoy6mo(NA6@BSX_E681&v9BG$jJOi%XZrMxFYfp z+*(NUhD5Z*q>=LqM7TQzf$b9W*tGbeAuYexQG-#xpPL*Nm34|#N!Y=ho1B_XY>T7T z;G~c37Zn#fD)X0{8;p;ycH^<%dvH}Ut@1fDkwoi$)kibAEv@wVkjGmT$2mK4f;mwM5{|%(MGe2l+-k2O~}OJm2*&2RgWTi4%xS}06RAx z!LfXrZA2#`h;7M)K0h4D--DuqS5pz64tFS#)(+k*bg@pgP&sRQ81vbK$Y?jZIJ$B52aV z)6j*w;zs1oj2WosGtwAgH%1g-sWYg~IPYanq9Y@rznt_0iU9$Zn;3Eh!);o@w6*$& zAML{{n~PEA=|;(G_4w(pmSV%xcj6zP*g!F>4YOigM$ch7mQUrFhDu)zo{gE&KD z<<15Nsi7~F6ECpxHFZ1zpZ$f^HLcDkjv+5E6ra4h70-XrNhg9@(ld^n1J@!}^x*&9 z5zc9D@9Y+lY1`Ij96Z{A+NOTg*LP8OF%FTmOY%s0FZ!9t1r`<;VbZh{OXduA%@;!1cwSBlES_`UG5XMQap*JWJ)st^P zC^@SxE?VxSO_oB<;Yy*PIB&G4kY6R7oP3^Hxd%wcp~nBmgZK8%V& z&wM91NLVvya`ef}jm7xvR7}ViPg}kSOq!mBy*m%n9xIZwy=i241JOc@Q7TAjA(h^6gVNTLnU2;TghtZ08VAuH&g_;Kc~Fwi zjZLDsHvqvXk|JPk?qp1!Ite%2wv6imOR#T8A+DI5NWD|2QK0)%Eg@5b?OXN@Brh>i zL0}}cfJ?E&-=j69%83@7PmHFhP2HBv^OUU0 zrF4Qg5Ln#RkUS4&=d?3ZU!3%5r)i7Mr9M#S7l#*2+RnN<(UhyPwiC?_?P#K{pX{ur zOr2&HEteHnVgK%9h6-tP{SrxYhJXIoze7z~t9d^xL^GNJsH2a!R(fH`&C4LDx^UMm z_aZ(m9PaP{M9>1hysX+N^96Xah@Zb~GNNN5QF)5?e7hTsXq}N4POdMS`yJ5R1Hnl% z)K=xN4n$J^^$ceM>of{{co!oIutWwK&QZHU*1j7>r|R*&r#9i*E5}pE(8C4Dv_E3U zHt(6=^Zyt&nw59Q>giZEe=1JV7Ur?v7vO_^#klJxE*j3u#G^N4wRV1KN@nhfmNMSTu=*jMjAB*%0s)J z-Bp$@j=-ut?Ra}n7yjnXP|TVgYCyySa=oR_rG?JDhO4sEH;vDmzMZbWdD{mR_*{#)dZTFywER4wbd^Va3ug`nI>G{Z(`>_csb%ql@OXZdvwR-m%_`CLl-Ym3F2{AlW+!wzzaMzS^0uqQ3F_@Tr#%zrv4W(1+;tZv) z5?uN8BR3~t5Qh~?H%2njJhHO}N4C~6FMUX$H{6^nGLe;=Zrs4+A1Xt^;WES~QwNp8 zd}kz3i5^a{YQ&Zedkyy`&RQa5&Xi{rqTW^4r64Sl<|(9u@@CQ8s=q$Ab*&MVXc$6~R&=@?!iWPbHFy`7E7Ud8D?wH| ze(_KD&}B*$JsEUjJeTDlIhbH!*&z9}>2uIpm7q?@+G3D`5)^p~OPaVSwhd8~^%u+- z4?LL<7pI2XEj5dI*VG?tIXfecoQ(_fXXMhAavdh;q%d#v1&=ne()P5p`Q(frt7&*U zIJ06p-e5mG?R}*u5ht2DmoI-I5uc_PhU64ZK2TnfovWVfPessdqG7g73|55VTI{Gz zio7tuGmr}J@}4FM4jl4e{mCA#uk*)Aicj~=4>Gzdad}3eKkyAtn5Kf_w4la&!+Wrv zUikO4*X;`xXrL19n}c!Ld(_i|5(iI zRoAB&?tM7rH<5pcKO+jTRMBG1wH~y#u@1RNCm{onJ3fshQfG4Elk=1C+)v{zsTUeN){)#c>E5 z9W>Q^zE5n*5T;4+Y z7D2f+B(>AxQLlNS=Bx?ndvQ>SiQJ2lz@QmTZ`3~TYX*8SO`iuwl#|;TjcaF=-}goP zoRLcujo`xb0L|E%hy_wKQlHV1C%9aPuUKhG z3&%i0OrD#J_>>6Lb3&kn6Ecle9+TG|%E6+M{)tBZlWl?{Xz_x05Xr?T2=;O4XQ{8+8i zZWP{R&iTGNpFl~YvCj2p2c64Ci7S^3V43>^WwBmVhcjvLz8LB~}x8RL@ zdZnN^SW57^@2X(4sMs<_wNv7e(OG%5_$r+bB*ljVx+kAchI?w|PZ3&QSn+xpfM|wJdN27g;|wExu?3%2R#bj`UAUEWifRLNs!BV94P^hPtLa>1~^J>}`g zvPEIW?#f!f=>5QoVIUqWc3#?#d9+|mujr}$K$pBeR{>6c(|XUFck>N(FcfzAmQ`np z)l7c)#?O1_3TIE&0&LN(mO7hkEp1V~DRLf^dvU9>>oQsK-al6wy5GkJ(oR=00wg)t zlhV7srisdHDhg=4+GT9KxDuj=Gr0aPsvaWg*DA~mz)DzF@(Kc*E+*Q|jMsH_a!*YU z#lCh9xa3^6;~%%0MR$3L?Ks~>oU)BRktMbS-z9P7w*fDXFQut$n6 zGtg}Zm^*erym0#$XcwPmqXmVU6W5kGr$E;7>-@y5mjI91tz z&)m6?u5D6r;MfV;+%)n1;ados(bV)N^@d9e(uc^XGmI>~f{Lx6G&E7r#-e1oB@ZVa68+%5-!o?L;k zTsJ7sYXO2e_l?9lx0UV#nbwINm0sbc*>Oin>C*f7!UKc#{<(eyS*&_Rt{>~qn}+VG zYHSa+=ZZu3MPqR=1&6Be{%ePf5vI%EO}i(p?@UG*t$qEuu2Ec)JT-`uQTviLyL4Fc z5TYP&B7Wp{jB`molUrodJea2dnJVyN+tzJ3eDDab1CW)Sg}L;+AfVHR8IlkzZ@2;E zl)PZYc)Phc-=Fl?d)@Tot+cJSpk-IoUA0*t+rc$gJ+<8Jys#0?t!1>ktHHE+nV7$F z5>L0+P8Jy`sj0U^bRkIyzgmWF{W{>mvNy z@AI)RC&D=KGouU@p)>N5X`f;l;H_Rd6~~T$4M|i|Dx@dJ;P^`qn#L2y>0gBuPWjH9 z7={J{UknwPA5?eX)~jQ2?`=yA>pm?v1+g@zD5nK;CTDmG%JaG8g6@-M zYTo>^k!fJJJK?#$INyDxCvimU?9>4)M6%MbTfCiyiAQO&{l(GQUjg0LyuRLpNpv5h zj+f5{`#1MQa&)C(B^9w27wD6^-JuPNs8;NBl(zaluNhBJI|l@LXW*Z%!>bD6t}C)$ z@_u6HMPTIL#T|A0<^4hk!eFPvcL&noO-5ykWF<3rZGCj{zun|^6nxWA_7uN3ZuaL3 z2GPjOs`aJfhU>Cv;m-Xp2|m)THZ(NQ%Sani>AOnHUaIL`R0E_ILWhKCho5eMZ2 zaLG<9Hw2bI>q=wHpsWpnJ*}7?1L$zx1?pG&VLc?P?l}CUYTp1nY{fwdvwp;$*s18XRC+JS|pUdy}}xJ=rMj zOG-*Xclunz$SUzx%>@baA-pgG0ZZ*(x&$ush-#o~nUfgL^?zzm*DjIQM78jK{KH>e zi{ll|cwtu!7Sca^3)%fhPUW5~Yr+#xze|I`ZZ1*|BzOhzyoaJ)k71p4Poa&9OR@H> zXC!kWT18I>N}IcAL^=-Nf8-Xf@e_;e;=YB6=1<=o%sM4I@Ag|!_xg8OY>fk0$aydK`sL0u$ILCH!P~Z7w141YkMsk9oknUDKcWoHv%n3JB z+h>54BC@0Qo>IeMotXno0l48rY~d!j8;Z~q9EBQg6cW(ZjOc>rm^OX8oA-Gb2!aX% z4}G}n&qAbuhdkjk`;uu3j}->)`J7o#F7CIL%W*6Y%l=Nev7|$j3fr98-Dw54U)7P1 zWMtk#W6b9Ib_51<);eoGTAEuBA0Ll5-h9(2*%RUu$X$ifao;vBfG#oq+_ST@dELyF z1^GzN$S}_N#9ir|6fTv?%E~g_Rx?!~g+)b}F?~9>G0R47PA=ZtumLr-wYd7~tGUNP z3toTybpwhsXUs6(Aj-?jO}dL0Ei&Mj%w5aZuV2pzh(rTMD$|J*Cs0yails}I8ekN+ z^~$TSVmi~&CK;-KiOiM71<0Hn#=b^c`P9EJ89wau5eZlVk!~s`lM*7haC0XC>o(5V zO|)7KJM6j-4j?Z(8Bgtv@Y?*aTiPvG-=Y7u4PKfgE{d>ei z5pbQd5-;ESqh&~7@uIDxUR>f!D=d?u14+L0qR&}ULfVVvbV@bnlZk_OqPx;5u+SJ- zJgOIVxw+1=_subc8}79}ap;4uJGs`0ybRxUH{w|H)fU|yFgH$@$Y&sk5=NGxFtSoj zpWwkDs&bI;xKX)a4VvWP5h9iB{i9O0sJI1u)}3M(Sy-EuJiO{#L9QAan~}dS4EK63 z%33e^BU1Uwu8>e3X-B!6Fe#S;&PsjHcz$UypxVH3LgNVSO`Jd#;xbZ6mYFp%42qwU zOv>b*=cU^Gq0&;odtS#*UDX%)B9pGQi1E8TXam0*e8ioAEOZCt--)1+{J)In;Hu1t zOlzG5iFI3sUcd(~Dzlfotj_9hR!?1y4^0(GvErGBKVKpw382hsFAP)#u984VDdzoz zj~WdKkg1=}E-yyrwNDCw1cY$swkn||+S)544*`Za3$vZMW+2x}EUUqLoRblLy-a$B zHRWMb5`woc+tl*vQX^{uul}7Y3CAH3*@pX^lIRa>O;hXl<>GepG{=6T`3G3G=F>Ij zO%41%=hnJ#$;bj!gU#v?r@b@m{hlf%{pxLyu;^G2u1?*C;pD4qkOn;Pgt6qVml;p? zC1N%<7*BoryeUmI9hyyGsp)i_p8&iM@&57WkJp{z&wu4bDKBeo?KhoMGN(l~1+XnD ziaCxK!_p0B5F1IJdzp;jaB=itpfUmF9G??g8Iy<+Ab)P8?|=_>rPzi^S~7 z=S?80BuQ5Wp#Z;rnJ+Yt*g5xzg!4Me$BMb$+e4HcZ_$f|8n0tE@+I&$Y`7=C`q`J0Q;5EgBx?mK#cik_;mYw`<&BZ0cq0 zFLr%R|1&PTDJlqX?F^78k1ei>b38^nIm0ZlojTMGheP zgV+nRJ^(u#?tYANDhRvoj>Yn;`qF>ELlQE?5&~4Py z4;0MREDMf1K!2MIwVDg-)Z%Tq2Q&GV6MBeh^hjNkR|n5 zmjXYlsIeo?bAqLDlq;4_(C#<_tTX%F>RQTW!Yf_MmDv}TuoS&hDD z(^{;gOQF1zaQk9c2kS~1Fki9AcZ;nkEhRG9DLLsdXUCcnrM(DDri&y)oV=9OEHZq| zQ7u77&SeJYicxn5!Me5I&KUsYlNBv$10SRXSok9c->V&B+fxT$q3$7zTB?ju&NTZ- z^;HKoU%$hkxDY0(;ndqB<4^SjJqTx$Lz#j*j@BrP2tnyBn!Og2l!#D2pRu20*R4#C z#)=w#8?utS6>a%Iq#05zHIH~kkS19Tj$1gVkrhAcA^bN*e(QbsqbuC&@uoEBT^ycZc%p5_LoH}3 z6X5NghcX{TOBa5p@zchka@RC(gX|YoXv_2V`x;%~1TNElH%*aZ04q734VP3r&A;bw zRH48XO?~j5A8XK?K|YN-7NmD%&CnvHCbJ!xe7&?T0F=3Y1M-YH!$3~C47SWR= zuHmo0hHY~~0In{3^tG;2%CDtNZLoMjK*tPd5+Kb*`ZP2W$=AHtOTe4g-rrLE9;c?( zANa8}^L27-U>-@AXFXzY`<3jshULbRt>dy&P>EPgAiVQKN8Rqs!Ss{$nMLScxNae^ zWv5ZEW;uDn{frkDssNYJgosMR{f&o{*eG~Uy>QQf3U#HAdSe{XoPtu)!R5Ag8)i7D z?mg${a@f1Xo^7>-%CBF?A5T+1qQM*cX?K1f%fh3&t`ZC~x>N(VOZTukU^-vjOUOyC zT0kENWe=sYz9VDwwh{x;r%?T^!S41Ae|p6$E=CW$Td!J@mQ*&?F}fX0cX2H_kA7D^ zbHgJ#aG}8i(nr$dIULwnIMX|U>YF_7Ce%K)6&D$g))$5g(>^S`Q*G>MN`7~Lb-qJb z{>3=|^YLrCr-|2~*W1N^PNocNifvKMeYi*1mah-R6`NU>Oje$Cw)Sxc zBVKBC{YUo#i&*j!0e&($J#YLb(Q@4Do4rO0hF!^k5m?xxqyy&nPQ0?inBDZoKvkl5P{*;adl=!-VoMLX21Y3CZ50iTPFcSqdxa)uZKHq&%K}nHr)~&3jt+ ztcYbqG(66l*-?XEfk<-JQ5dY-qlt%-Bi)|Aiav@S)DD+fv~u&baZ6yCe4FY7;7%W6 zqpl2;5#L%Z*4K3tvTx}u=v)Y;tRu6?G`U`Bf&~G7`^pL6d$Z5kbv?K=>qfN`qzfPWDZ9_m9`9w9iaV_lpi@pwgVZhyl$~ z2%zPB9!VDoAZKqQl!QC5xB!el5DNmaV`J#``T4!TG(qRF`|Gm)!zG?5EZ|jf+i2h$^vyq` z&4ky$fHafFk_v%y7eGAC_~_xSO7DfvD0W~)fl8T)9wsKUN5eRI;MTXbe?lQ-a0D4&ZLxxSg$1;VOjI zNThy-2W!CYAPRM^;Tt8U)4@k8FBL$v>#&Vu83>#WA3}r*+5kD$P*4-=jFc3@1aTtu zp$B3>-E!0-oeH%}#NnV7G%ORRVFT_$7K){oXukVpQ^&t1J$q41L>W`w>zBZCNcqSB z7z*cvVlcvmK+s+^e9K8Wv@G?1 zWpQ)p+eo*@q2~2N-pm*aqd)hgd+2z+InZfD3iLLYzCOyyqqjx;bp z;mQ|&3zCm+>{!l0EoB*-0aGVr(oWe=_xqV~wARBLUndU6hetIN6TKoFm419H=BHAB z5Iy9!KRgI9s)V5|u(BWo65He4eK)tLJi`_Uv;-)KLA42lIO*^Ykt=Lan4V1}Xn~7A zEkK^tKzqU;V@MS(D?uur_MP4$WF8=nY*3(@S9(+g-?48n5b=e@o)9l}G&~tLXf#aU zKeGu;x)^DOgQ>BObH$5aa=$Z%f0Kaw4uNXx;IoGLIM}y6PoPG=2KtDbImG^>7gdOQ z8+jWdFWSL8qDJCIInh`UXAV*AfO!8^XDeA@79FwMCznfQ5UYi+W=J=e0OJCZw@oM+ z5T%`rejvZXKfcvglGjkcp`ZLm*m7Dg11Pc>i{m^RD~w zTm%2QjG`Tk*FR}kQUklBSc$+;i>krvhQ&?RCtPt_>+K5f6`4Gg0PPz5dBy%@VwyJY zTIqJ?_Evc`hKe@aIqlD@%A-pxBRyqYw?!b>y%+9*K$0pKBPRzm{6QZvwTKh?))l}& z=ev6V39?o1VPLRz#7@Tp?%(Hib?^5aUGD_u&j#&(d+Vtr*%{bpiV5;2Rocfci}($g z`RTI`Qmz}`1Jn-*Y|vi<2^OWty5N^mgAv;^_5s6rxQ zk%)1T1CpX)DHG5-^fDn|@fgCAI9Lg4CrC^2*7(I?ax`I8McX-~MiKFRdDCh$D!;7;~AUoAhOc!PGrRu5!cS$lAAMEZUI0|f!b z9f%nq{7qhhM1}+nbrgylDh%3ut8GrwL!1-^ON2U~+Zz-0CssdJ|M&jrexLq?el;V= z+E@|d=PK2Y2%oGk47Zt5b%}XE4>{V1$WK?-n z2g{&T56UZ*m#dxhigfo2%9G0hRNAij=InRyGTg_LMcPNyUYM0i}kyl`rr<4(>bmeap2u|0`8CW~8npr1W z<4(5}O_xY6;uc|*r2&46I5!`FPtei+N-k21!_c=5SYQLpYT>y=*@>CYdfq+Xo!>Fv z$=T*NC9#Qf3%9BKsCKJ&tBy&IiIi)X3y}Lg$(Y3kF<4{b@J%zyGzv5-BTBvxF03{b zI+PY)jrr`P=CQTU_1zV`^?U29i~W)BjPVKhvFQomPsQwy+}P9Ali1mu;x5V0DBmfE z^X-j3&pzo;f^WWyK&&;a;cTgfH4|HPf;oBdhT(Zj4jtQ;efT7y@m-3c(^dt$V(Wu0 z#`?y2*H*izgHx$oG8oK}zhjpB&sZ55pjqS7ZCEYYj@cWTqM2!#*3DnKqpS`LldYOo zDyJ(K*Ot61pJi^XZrd=>U=br-A{#LdX*RUAG|4pR8Y=70>Sh{uG|;tFwbz@AHD5Ik zHPp5An~7FDmP}S`8Z2u?ZFOyDZO3e@m-5`~+=SYq-2fy|Bs}8bVk$8$rqxzcbyLmN zP4?~v9KqaZoO7J*-z@odPWzpy+*>bX zoDtlzcXck`Mh7NEx9Udro2b`aD^Ga6v77DOogYmeVIH;dSMU|_rFkZQ zpzwh59D3m2za8x#BpteTpB6QDxEDX#T~;0ZNiwe&oz}mrBXlX1p-~ z(N7oIfoYCM9&H_^9-H$`AN`Y$h{4cQX!R+6*F3r_u{4K5rc$2x`vCd5#7PDci{V7@ zWn#*(#Z3|C{R2BqxYe1goE^UyMq+eG-IeTovf0*#^^>)v{oj-`j(m_jalae03jByJzN#CdSs1ZMEcd=(K#DLpCFHsae%A zs?Ic6-Bi!54o-f}+b_y!I_HfS+1zTKXdSOKxo)4HEM`>ecYfT4-odkvf{s3_ep8{T z^5}~1x;`QMlx59;XHT*FXb^4Le^`hEG$th{nXZPf-Zb+r<9EHU+2ePqp8wfYY~3A` zo1Sdaoo-7nU#lp0!#UGai&m4aXnT}do2_Gau=k1fnON|N@+o@l@jd=9?;`*7y%ksr z7!1OPv_{axE$5Ko*HMa`ldC=lNLO1|$XD9)G95@52f6oc^W%OjdCu)wJTqn)kHsj* z(sS@RcYVDJ zyv@~TsT-@U`(Nx{_HLc7o~uik^N&}$4{aZh-wE#c&R&kLuh&X~<>g;Xe8_zC9tRGj z`xa}eA0g`?A^LTo)jQF<4Mdfdfjv4$`||JchFyVO25g(W4w?#jkarlxkz7WOXlhg1b$4rm7nO=ln=Sk%7-7$`mS8xRo4qNR$4 zi-xQWm$AJqouP@nktv;rt;64bfOtH(zM!qCiy@(ht&N>Cmj^HLKP|Yv@LxAQG2uT= zT&#JCHDnbCh3%b83EAiv=opCkUD}Gk>D-y= z?48W%896yQ=^2>lnV4w5TF^Rs+PN5d(AqhZ{Hv4y>PN)X+1Sa_!Nt z>HOaWjsJ`ApU(eHkax2Dng+waiSaT1JE{Nl{kuI6{okekkFD^pefE#{YoqbO@X-JF zrsad-TBeHv0ulg{6cJSM06x=&G*A_tx+1b%n|Mz09 z2MD;-Uo1NW3Im6MAb`_>!l1RoIk+geP;4o5=lSRPlhojfIeZygb$>BkMzIr;>)$$L z4Fp6EGzSWY<-a&ZR+%%L>&x7Nq6ve16Xn&C;~Vxj1Jou zUND_iLCF7Wa)69&|0^=i7e1}Cq=HoZn+R^e{+0jVoKoPwuWa494or*(ANR|g znjHLn`}?w+LZt=>27>|!bu702a7teV4P4vwP5FwRst$qSZ+=dSTl(l4%V-K=Oiai0}VTj#3%76ON5 zy63!^fz0Mp@3whGH%v zhC9Sfb$Y{S7Ux$|;Of!vL=S6UeVXkdiJpmB2yfAZ@H9(G3B3AhM^Vlzr2yKq0U9 zjvc)pOA0qF1chhtvA=mHm8X$-%AJYa8FF8J-113D@<=8x1duVJ<`@NiJ}06Cb6#TIZMojk{BmM;CanRD>gYL8s? zX7>H~&rjBvY+K_#6c=R?Jr1&sKttm#P0W2oKV;kt$Y2TI8mJQj=+E?Sr2*Ai=flZb z;^fVfN|s_`I>Vxq>*q>O`*~GMQ4VAYGM(%E5TcR}1Ji8Iu!ItD*sFZ{@hR#ODy%z2 zNu2sI8m&Tx2<1Ky?_nNJt@KeUArB&7J&M6c%e$Nrn}*vm9?P)aSG2KPGfvIB0K6%l zAfvmE=No$7Q#i-TV+*O%T~#PiSj=9@&M^+3h3}$R#%?otX3@kgi{tk^- zHiv8axkvI;2vRqjg1E$ExA^{RgqSEy+DmC*HcKKBTwKnqKqJ?$6FbQLPrw61yAU=- zDO^$!k7v-4bNX>`Mqu;Ijl6B;3kF%1w1PAyk*Yj5RJ8yif928l4@Io}3J>)usv8bV z!}%~@RZO7yfj?2uHe3|7PpicV{HuNVGIF)@;p*rjKc<(fVm(x!`!gcck@$Mmd)0g- zSQJpprpk1grZgHTcTS9ts~(iJ(eok?;Z~Q!uF&qhiEn$`O;Fw^Vl(wV*n!{m+*rfg z&~<*wk~7llAd{vaezV!QMc)rVR>8qMBM+Iy>I)AyNRJ5++aVYk?tKuwj#77B(qQdV zoHiATsKI$4Gd}I@@NQ1*-6!(Z7L=*oKZ3^9tBaQBqgdq#%vv3TBBF;WkG}o&EywVC z6*7d43~)JEp4)GO%GIeu_@CicN((LvDUx^{n*;FA&i8OagYsy>r=JYn(YuywOGMiM zqboItqE_I$<1azu)DX9VDzgd&(-;OX_s*zT?h$^!$vNNvQsDVUG`C(*x@0VZQK#~T z&5RdF4ivD8fKj6GESue!0)bw`V0J3&;K`TYt7#j9ZBSG!ku2j~p3NuT!IyJ%npXgv zXa;@z*=x3oowi^!G#dpBRVlO~WSGAG-_Qb-`g5hoM&I-$N+&U*!Ni~HeXMJJ-hE`m zlL?&GGqa*n2$2ywOaJi9xp29P&EmfI^i6Y=j)Ur;WLBl~M%45c788lB*{7o1+LS&jZF4m^2F7PN^?lXoZtg zvIzHMEhVEt_tg?4P30?HB3^5lDCS5OC7=yM|H%5zTQK2Y<1Cp^O&j9a0hLIK=&Unv za9+6Vdkq7Oni6p@g#+AWnC5FS>^v6Bz9^c}`Se2k5DPF?jW7 zS*Ubj)Im)d5st0R^ow{6O3GyO;Sk8^hFU2Knt^R(_(JG=GlR+E%rh6CmyOS+c3E&H zS8fEa4(VA#Ul~(+?Cm4QdF_xQ)Dv{E9_Y=L>!p!3H9)5IobZ@PTM6K>5A8mX59Pvy zbTzPWLmlp*$l;RW<9O5BwdNJ%WwH399%E^=Il@A~6NIfKjqcnc%O~8|!@miO$82w4 z4jCM#gL-T)XScN4I-8i8VRh}Z^N$aVp_)y@$Nl8CvkTzkEwj_k3Y_IOh+p z?#%kRn3FLnM_||bc+f)f?b(Qc#ad(WPbq^>PL3}pUO@@1XvoX}^0%!pM9lVp9beyK zy;ns1!F%BiQBN2xcs`tibK#s5T zfnZ3qV6w2YUvWKboj`#Jo41*C?>Hn*ALqw;3Z?d@iw<>G{m!<7nk;HYZ3#*_Pnk00Z^4=f4K62b0a=TB@^>I94scz(b4 zbNOMTjw&OZiYVS6j|Hy<1svTkI1}r|n*(p1d*I0|bhLgFG28*6uGUHhinE3s;w79Y zO7ZwgxWarLu#FW%t;aXF^rrznni|d@3}Uf3{;NM+nGaIAfeFSdzo&I6Jv))LA{**U z_VQo%Qpo5Gzu}tVD8~hdBr;u&CH)kzC^NXV@k@k8WW}qpdmK^>t&g=%A>R&Jv>PM= zed%3%+CEEsK@bCXOD&E***P|}-%ePrumH^zn6OGxSY*gEU&%c5YOL7po<^%&ZpD%O z!Bk^$!q8x$Lr)HzEpLuy^7;W^Wdog|OTdrOZRefb@gMc?RkleJfZPc+b% z`+R|IsPVWOZs_{ts)BR}+&;05h_K7!$b7U^7$^AQE-b0Hd7`np=*zBKAC_Yce2!l+ zYO*+cR+}y`pVQT!;aM!|dfvIbRTlGe-P1$RZr!bUsPVo$csPr={T252gP`u~5&0W4 z*gQcjcXbv*f*gBE?hIy6NKJhP;fQo-EL&OHx1=xpD|qz0?}UM%pfXCUm{hGQv4HRh z^wI5BIr?0=3Wj!mYxIlbRXq69IR;Cdxw4oNcDsRbblfwqO^MD1i(Kf^TKmbK0$kj5 z*2-P6?V)8@#r7)<6MQb?r$WA4YOu01JaPx7rC4t*9HOE?8E-1`Up0{{K3Oo|?hN>q z#cu-%oH6MR53yCM7=nF9ddVZxx62m!MIx(MuaEp!qYyQZ<&`X=@x^<@qu0Aq5y`sP z=hoHlI#nD9@jEWvNJ|QT9~-<3#khDR(F*G2uL?ucJJp1)M8e$}1t~)Y+6yu(t=6zc zLREH?lU%ZnS1SIwiB(Lk1>N!n!Eb3~y*QceqpftiT#XGGQ^SguOz+Jj5ofgSQL>U| z>G(!G5+|I~l7axk#BL}NsidH36~zLR+RyvyknT$Zo}^wPh92cv&b~0I8AP{!y3^BU z?+QyjA>_;MjBhrf--}E+3nj_;%#64ha#JLQ)N9F{j$`n)G@|Id6pmOb`0gL2Tq5J; z`gCw#PGY&=?BS{4PEtu~gU(WJ-LJ-pqUQEq1hCB;xz*TwurvIg^Q$4>zW6g3G|WU# zQ?Q^-1_e_Bm2z`tV-Bw2L=ErV?spINd78dUwER+Z|N86rFSLofKoa{gGSCT&GmxKl zi@G7E^?L5G6+vOKd1Jgm*JHo3TJp_l*PONGNh<3~Reg9IVba|?69OIEr`JqI?>c@{ zb3NMnw~(e&xRM}k*+X|Q)3q*^3K4DAGI1X;HspyF8f?^}=%IDr8;u#R?vT1%>TN>F zYHQ%h$_+I0PYz^;j4ct>!}&<5$#!Q>ZPSAgR{nsvi<`+k??< z6P^ra)X+uSgo{!~2B$l+mU|Z@Xbzs-dAJ!_P(zA&sN2AbY?wlFx*WhRHr~E;;CC-x zN1Nf4sZf2ztP&fDs4WvM58$kAN4X#xUTEh=`ir`MwYuj11>*1x}6qd5HAih)2$x*!I)yrD9#+x z@c@n~ZGU!OV#uTpVr);)b}VGkVY9d!7}G;h!Zi!H*256_Ga_t`SK!1gxOC@>IR~Q_ zC5$X}(Jk`fj;E8|p7o4(DD=#RoA7>GINu6W=-0Am``qJ|POB6PIa|rvXx^PTfy<)m z_YoS!U~YI2EV{PDQ#r#%z1!3=nhx+VF<+i6WP7q9@xz906=q2c&Bl5MTGl6TvEUV= zlxtNq*&f<;M&}kQ>W|1EDR+HLa)^kpM|iQrb+^iDuRnd`I^zooSCHmb2}OfxY-PO^ zX`WQaAu<=tL$;co6V*}_<4Z?NcWKsH9Av0IzAtigFoi0VjJp^kX(=(Wbd(RBBRcyVQ8!Qb}R{op{v7%IvNb4Y>*)Q?<`Nz)K)5(TIgF+lM>gANEBwSx7h9fWER*>zhb=u&Dlteq zK)a|9&cB&oTtDjK>9w?aG*io7Ww9RRns#Cr>lANjQ^AK;SfJ3kM%!|nmoiRB=Bte3 z&G-Bx{n6H91EDuJFDqINAQY?E=5hQ^f9ygR&Q3<(8(1`#uA684dT{qzMEnaRYX*F( z{ibUADy)Bcsa$RGbfWy2p2-2JOa;cSg|w8w3wr9^OD+4-b)juR9_@wqIq}bcB3ij)>yRbre}9b<%y`98oD4 zxFa*d4$F4mn&Gze4iNg+)W~z z8C6q|FZ`(Y{OB5H(0!8xwch5a>gUP3?c<#4NT}nh$-#5`xLLwJYk^|Hb~@F`n#$kB za#+sA?^-UTU@DE=7W93z$xQfex+qw2d+X9tV~+_+9V}!woMBZ z{$A<;8>9^^R><|Lj@~E#2Dm)tG<`o9yTK_$a#Zp+S*6n;erC=DkL0YIi5m|}I4EUB!Ym&wcAUY> zitxN7+UA)cdBV>Nr`4S)eF-f0b6E$x)HLt{t?h4cuIMw7H9$M@zu=F|+wN;X>O` zBPN?27|H@ER61OmPb^2jjeEQM6F$$(Y+$2AMn z@8+zDtc%>R>SC{XDR2Fdj|}4r7c<#~7y`zt6*D9{6djnh$FoCn9~rjIs}!-;VYMU% zdo3P(@8Yg$vqZIR4VaR?+8G4v5LWU{lvsVa~D@%}dghkbLt+1kQ0$vl-uU zsr$g`mvljvpe{CSafh0!?9ai`wek&Gqrp8ju`8S9M zo3Lcoe9GrZ!`VO&nY0(QbgbD_Gw2D^v^tn{inOa~VT})x6q=`}nP3i^oqJ^QoVXM1 zP96GmP1Zlh8;94UZ8=6)SBMNBW0z^YLx6ZnA1ZU_lJV3MaW0>rBc2$hsjkYeA?S@| zs)#_nN5e>p9ckWCp(00Y@M0T}Jl-OAnJ{rR+Z#1dxAE>A^A}Q?FKDHWd-5|a+f9qY z+qdw*a5%A~6&rc(Y$iRi3&|{otv|(LzkWA&Wk&99)Lg~5?0sXDFDTv?ocNaG^cug? zY$f!8?~l%Z;jd1KFpB?1xP7&y z2o{#3hr^c`)R5qgL-z%Weg-fDN@^~LBRk=DY| zN4vp#e$My4+WXTQJ2{UU+DOu3%n%P(qn8GCSX-Gfp0q`VXCif&zF$;fhbKXWIT##v zW~vwY<)X))h;3Z!)MSG>$FY?JZF52^Tx|k7Ev7Q9WZs(G_(v~Y^B_q}!H|!jb4}2+ z8JIVw_(@7vHlhmE?x5g_NIv!Oc^db6QC&>uOmbmQ;N~hlroNWchJkX0e*rG*N07 zx=M_hI~u*=2U^x+N2@!NS@9be_IA^Avg(J?uJS>voWFOTTk&5d^I&2`4Nj|&f%-^Cpn z_yj&5(Z~=!UT$oTPQBG$X3Qz%Q3G>nk$>*7UtU@Y3-th29-q6CPdjbT7D{cAY0-%_ z$Oy)K&oKIaENi^d6))t<1|6?A>K-QnzATT@np)8BWV7b9V#`-bX5Nalk+W~29jAhCm{w?jsSI%ie)9qshze2bA=Lb zFugY`zL)itXx~zY#G+7k+d-N3*AcWtXz0P+$4xsks3OIw%r>xuA>JU+!(WUak$D#D zl|+_AowUK~l)>1G6#+3i#3V~hWyzKK7`zA8Xcu!)#~ONqY4gj&`JdGL3fSGQeoaY) zZ!*|rkzN?SaOuH{Im=T4t+%xFyV^Jg_%er@?AOzv^ztHbi#o0ri!+JS zne~74Wqt5@Tlq%kfHgYo3K;8EJIDK`t9fi!1k?n8WS!D>XQ%+8%NTOKhdEH65urs? z;Tk8EqPny1O(SvoMTv5F^JF!1YTy7>#TwfiN7SyK1J1GIoa;sw8b_`m$5za$V0QlW zKF!iA}kIwvI-TKFiV zqL@Y*?)GmlUEB+t7pxQg5i$I>KoE!g!9D9v>KW#Vy$!0n4E7F@l@wL&EiS5eb|xxFPPb+}y@1PvCP;olGph zux7e0qiM|1^olR38ydb>Cf9blBfbU)4YJkWuNK3hgz|Dq&K{R9plR`ic4~nz+01EVZiDDdGY6%Y zIW#@1JV&4<(~h{R=zzx^&wB;Y{b>fV3!e*45p&V5%xpXd|2i!VZ(%PX&;nDNaRrL{x*mS9cQ;3K(g5ZlEF|Fll;CBd?o$B9f7d0Z z`pq<`HYFNEfcOADBEDV|7G{3iSx9r(WTq7{BrDSl|7j&fCrdB#Bao$1r+$Mbn5G-q zeTwgeU#MLnrK0C`oe71%dOZsNZePT~O-=?!gSfjp4)Gj_yH@Oi!>wU)&^PFQ6)|7V z1!;k-IGyE1A&7ntE)KWZNP*x&bk0Zu@ObjP_Bt2p9XFcPJ+Ce9F#2k2v-um+$F3bi zu`-COC{?PS-6^r_{o2Hv-5B)58T|Idmb~UWA9BDP3+s0qFf`QD9B*RHJ7Jn4f9$6N zlU8)83;+tPogYF%=z8Bi{Qb7H*rLsT?{|LV=k$rAAI9Vbl%syX;+#OT?5m`03piwd zP7LO~?9UNsX1Jsqal^||nJ36l(%s#zX;hhU`kug*H^%JM`9E5U5wMb(Q;4f?_GKUB!xu+d1b^NJ~JpajTiq78X@vraDIx`RB|=3Z@a(Dw2A^hWI0zaX-uxYMTjR z`hlEoE|K0;d&ie%J701_z>3o!qOF&edOKiT`|BoD60Tf+W#D_NI+N9A8 zXn0ygNlEDfj4tTMEEQ-$q?2s9@xU(;W^SMLd0W|4 zYa>I0X1Be0S~H}%8w5%%NRDtXt<_{5Q2g?bR+|%8rov$M$ zl1f2ZE3Kg#r*pCTkkIHpU}-X6+Ot9fErRCgbd2U1hxK%|=YnoeW(|Iap$Uw0ip(+b zB;nQ5a-)q_FqgJRO*jG-HQZ_61u6IBJNaOc>50|^ znosXILZy2$1W9@uwPCT2=|WEDFs zyYK|jK=OAQasUgZ+k;k-Voz78XNiy9sk>6fa#D}`7{kNu$kE~{1fMfL!#T%^3!eY_ zZS##fa4>t62m|JbW!KgND3(O#V}Rm&l3Hhzb(KwY9j*NiHq|78qA*vN6J~yfm}4-d z3AV10*y{=#>5P1`z(FZN^g(=&Sf6$kEu!O(-$^7OtWxMD{<{mfTvg5Fj(G%_-J|BD zYXE-VGypD>yf^YH6G%x5QZ-ao-HH`zmkFil7&1a^?mTbEa zMIn^ei0{Y33r=s963o2td+pDPp}cb}7&JBXG020qEFnDYS4#GeUWBpeV^=N}hKeCf zYwE!RQnz-BGr4>}<5;dh#Lt%B?Q-9UC4@C|S4*P>R=t+gR?>s8x5Fv7`)DOYTE+uf zF62ZdZf{C;xPXR!Ya6UH&4i^(+l*1v6X_QF>@fA1=|RxL*xJ%Ar)2+(VhtQ?9sHAm zUImaguXPW3Gj_VT&8&YRI;gBSIy=Le`&c7WsWD_p7X`x#XyVC!Zaxcg2S}*E(V0Cw z9(y;ed5^|aGFgQAppz%^t^j6PO^6)1*>_i% z2HnqDY*oVukE#|gR>F)m0Z|YKm?7-1WRX8?%I&L|qyIL=pVLZ2q25l>gs<8bUd2=c zLz3@kS+Bs6t?fBkrM}#&%JL{G6RD7_>wm`?4NCo3(_y6WodpSY=a&S3lOfG3sk|m* zfDe1Inj~`z!x%rx2W!ChGgU}i>`n#KIYAe=@m~Z@O0K?}l`QIfv>uh(_3YTXy7N$| z@%ja^Y|bZ|CXd0?2aS(|(8X+BQb@GVzSM)V-@;eznu-mJ0It7n3BNYn?crQI8^1Kp z9dS3{l{t>VJ<9rL5N%DiP!RPP$;Fi;o%}T$PVvN`BC+wJq=%(&J=<+@eSECqtx^zV zt=iHpZ%BXq626ZLDpzw#b<);jZSVWvqus_H!5j4g01MmKPm5~oA&@mm1 znhYxZwkT?U4zz96>nhBeBR4E>0hm7wslewIFDhsTUA{{yr`_h4Wr^cBHP&i$CFwtT zO{2|;8O%#&G^-YKHJn&kmhHh8Cv_uz6w@Feth8jvw3hJ(9>YE6S(2tcn7M2h5w_TA zcsE@)S(3J(NUXfk0L~mBjs3JXm5N{0f=1TD6`qIPBDjR87BCQkhIvX3}q~Vy3*cj z#2F=SoDV3X&2vKX%@r${Z^++>5%HaEmnNze5t%aRtKB}y+Of$$*2Yn09t13NMt2pYY(2Pk6G{76roh7hfbD=+H670swja$vF4VdW1`)yJ#}etDn_{# z791yZ<5f`toy6sQbjoR7)YM8$sRzQiFM&|u{VezKp-mYrleq0o!Ej)Yp0qx}%*KHG z_w!_A*9pKBa){H~d{&%gv0hI0PjetS9aSWsv-^+I%2^gh=G%s_BxXMiwhbu~TobXM z>i4)pz6x~0u)QiZGYJba&PqH0j$6wvcpwfo`{qoO6sU z4bA^PJns6nd)HvbF??;K?H-3z&=IQJ_Nchu#1?bG{?O&kyTFCVx)(B5k1gLja9at0 zE&8Os&aOFsduVPWt_pkGxs4plpue<_-cWOIIx+A1q}AxUmwTQsyL;5$7Gk)GoT8Vj zLBEt_=9b&Ugux6nEtx_rexJO3ZQjy3S|5nO#DDG?rN0oeJa46t_dRzd(s1jHe15M^ z5B5WIyslR9&EhO1Y`ncJPd`Cn!ih0eR4CHoLq?YIi^`SlGSZH*qlS6|UH~kS)|8vOL}ZUJ9djv4b&VdC;RSQv<3;+J&rr6AF1W?vfE_~g3s8D_n2V!GQc|5;ky z_@yLrdbjFFYof91m>i@LnFdzGLXC3Ea}F=kDSd{v~|Wv zRXV*>9A*C7-Rj@&Hp%ip3By2=HD(}|SM5*6gO0t&@70_!0J#tc;gz^tobrB2f5%#p z=zQ}Y0n#KfGlJ1NA!dk%cqhFpiYoUd-(>tN(~J}pg$(697vhE*{|QBR__~AMWiTf# zeBhU8+051C1am_+c zshFiz8d<|6sbdEGQxl65EUl`dQ@%V4hah1ld+NcchYH=Y0n#@-H0*AZec$DQEhT3v z^6}zWv z=*kXS-s0jVDf0G(oolQ#{gi*)+sS609Vo6KDZl21 zUxI>s*K?r<26@3GlUy@jRrS7!vZy8`Nb=+Ul*Q-m#uQmI`2W0*F zN7$M1&kB*|CanK`&-umvU5H1E^TfTsGS$t(ZhpENmdHJ$meXxvA$4Wu1+u&A!#p4E@%( zt>t6hF8ajD!GVv5@!e;ely~jpGxvvP^N+3<8Vx_pjgXtwYqxc};DRi=%h$J^^OnsZ zN)5M_c8Aa5%l0eq)64Ex5>u)Pel}^1%*B#Zwq<7?(>exq)D`x%6EC}YndYhDwyH+D z$pRNw@V3AUg1J10RuJ9h394#yEr2o_+|=nbvnO8ambIExGipYpBD6GEL$&gv>;4Pi zoTL>-SFk1meO~nle8Li>bwX`12OHp)#5-YmAEZwX42-CC=kXT?i#>lfz@2Ai4Ysc{uSG^F=Iv2 z^$M<6^!SW=LDaZNwPshfs?Jjn=W=rB^kNECetx;!9ynpL7_dbFF!wP7AcbmUUOYm{Bj7E0QVNxaN@qlh$tIGU8U~HyLuM1DCz` z?MV=g&@q-2cpj5|>P8dAPkyE+^Q`Scb&RpHpPuSQMGF0ibavmAqT^a@*mqfr=)F}A znV~ARF_3{f53rx}3&p)*FjehP5CRp!wxfHI1$tU8pC~gmsI??hk*9hC1ke{u3yw^n zIru!lk_u+IUCj%?s<%Azgz6<`DI0FqGF&!%qg*2EPvJyZqX+4xT@k0$)kjASDGs#3 zj;%x5j%eCp^OPc;z{)C?T+zMRB0SN1HX0H*>}JYnRWCeMEU-he#^xYs#2c!xVj_U~ zY`%^haRv}YJvc?**qZjYO^QjX1ivffS=ID7-pUPLqVt`Y6w1qBsleSH3M9e98{xQJ zt>Y)0%=xg!)SW66;V8<c@`MJv84^-!(`Bfp8MDUso#UOWFaH;q;+YNK_q(fWtV+Da?gN~47V z+h_JpSw@a*R?xoo<8EtlZA+&x^${GU{(>n%bCu0Xa=6>X=`Kx39>5buFxm#3qdaSv z1DbwEG4Y;x1;MZD`PhAL)483tjiCvjFJQH*G;*Qv{&)S{Ug~A^^=7{EtyZaCQGVI% zsB1>89xPSn}ZUta**uyV)AEH?h z2AlnmZ2_5~$0b6jT;S(yAbM(pH#2?CJ#$dpRZ?>MxdlY%nio2ihb}8})!KHu2DvO}R@vpTUjitiX-`0qO zKCGy)U7Hcu6MdXkIpS~es4ZR6%q*q46MqyTp?ulRDU09gvV`UqD-r)6y3Q%Ek|tcV z6Wg|JPdvfIwllG9?M!Uj6Wg|J+qSuboBy19AMbN_m%6*Ut7_HyybhM+py8=)50o6o z93nHB!Nl2EXRAEX+jKUSSl4!n+fqNLa*k?*J{g61?6#8sstcqA1=r@Dczq-t@Y>x2 zp7N4XYs}GTAzp>n@^>V>*0McOH_z0Chh1eylhExhN^<1Vf&zx;YK+8L&z9*zyhfjs zXhmydUhK2hH1T;HBRjg_ue+Ye47J6Gqg=cS)S;sD{V;%u`<6#YSxv zE2-4|W_Wu|`%xCs8b<%T`p4W`z9tWL{oRlAC11Rsc);X^&zV9_OgHP9mh>B!`0IDK zIlZ>>4llG@MI0@)T)p=Q-Hg5aY#qfCE@*AMOo(LVT!yP-*0ZzHk`6y@1ZC3iL^wON z%)FMn7=gLP`lFO!E7f(+L_ES8ll9kthga(|;8l%jzv)njsr=iKmz&Pn?ZbuI^0S%u z{DpW(N4EUi-D*fQW&8Z`GxH%(bK*L|=lpMRo!d2UtUjtT)oxhXGP6y@#q2(1+kDo< z#8}tW5|r%w(u=5D2Vu6yYvl!!z$>k~&K8kz-Gzs=+EF;b>A}6vDs%H8UEtj|xzl!D z?HNcA=7ob5KRJ)K;Q-T~Q1?vm^WeMep{5Xc8?m~Li&3kgm#LfQ*;MtdajSsK&#e{; z0ie5QFtAw{Q0dxPm!Iy+hnn5*|2Z?WA&>r|c z7N%d?ny#6y_KSJ{p)z_st_Sk72i`W;Lm~LEWu!Q?YhYP6g|_i97P^oVLA;9gm*+4HmO2=3tzEB;R^|_zs9Bv5Bk=0vT<=X8uHH&@IxSQ==YEjAMcL`I)e@AzrKB`3^Gd~i2PgOe6Z4H+ zkK|E0z6VYWVs|i~2v|Dhf=XYKBUVShA%8=eT#wL*@I47gn_-kJb6c#D^1b8-!?xkJ@({DI(kXkLOXZhN!f0CAH!W0n%if7@fGQX~Vu5`qjqH?ha ze%ugHV@#lI{T6v$b~g2TT){>^rncR;?PL;#`1LUiyQECw2BhVri!f#KzkN4)zNv5< z2bbgrfPN5q5Go&44Civtq`sVWwj7PA4VmTfeG?~xVwNs*B^b_2OGeMn{aHv2y>*+; zNTR{mvhvr~d{*^@80#uMrH@`Sxi)O`Q*)^p;dcX}xa@+5WyqhLQIDCK@uutq7QC9p z^6lqlx54jx6@vShu|u-kKiiSV)h>FI35LyiFxa~YN*(vi>Y`!h{=_J>TRMpt@jZ@g z+IkNfm92v;DGK2}e)HD7W_DZRMQbp#!C*hvX+p4qs&{`k_1~gVrIwRq`*{B#?UTa8 zE?>4Cpd%CP@u&FSk8Q#LPw38dCI)%$L)mB>f!HVi!J3?&^s@G35Egu<{Ee#ONgO`f zNIw}o)IXCp88(zA(1^x{s;`bP2Zkg%&u^Yd7b^-@fD7Z;h0GO7ix$pI&ynGhFdQZynXiT%RtZD5|8E z#yJX;l%1DjUiMa?fJM<1bcBz?e7YYMHY?T}3CesJH1*DNwnOs0!rFG+J3RPU;OqGU zNfM2WXcV-CI@hw8PjabdyIhnjblOJ;zM+r6c2C!X`*aH#-lZMW)lq>=WJUEuv3@Pe-FU>_2(f&!!y^0Pay1Rm3&u_- zf+AWkDa2QROsECRUu``=CAd(jIyo>ZS<#`#o{=AkxAV8OURM9i3H$EN3)*H2D_8a{ zeO_si6IP9Y+^3?6Iqtor7vw1|MQjdY4(@qt0ad$+g6xtU3S<*@;E%elNzO5bx%|d@ zgnxEMw`TI%&Z_#h$!3-Ki>jL+ZscfwO!<1F=eB!?xuKOI3Eej-+>mtClO_g;%D>cTbc8}PMl zJ(lGAe7AQh3trQDQLRJ|=*l`LYp}p!FH>LdLGc+(Sg@bHucG=jKK$U5ai!)HVMOs! zTz{-tl*>A$TZ2y56(L?CIH~_e{bgCx@>YH1dN_aK_YUGx#TYY39_b%i>o^Jd&|ZjV zsO>!LmeUz@cSt(Zh{oZ!4NclZCjv>DXJ97t`XXSx&5edJp2Eg`U?t>CjW{c)Q0zmX zK!7s2b^=ly%Oy5R&nfc${j@9&5{>qTM4OqVPhn6m$pDJ_~% zu*`-V^ewsTTczb6I_k}(0rCrpJ&6_ztTM&^8j;c+)CX+_F#}id*DNXfg*uVPvZM;} z7|y$5yQ^ruWv7K^o;!K^a`6;1#bzIuee6l8>Atc+5ol_~iO5gR3V7Ieg8P)*o>j<_ zwKBddZvfv~leKW$oZ!Gru8%Z(o57E>r3y=c6{qzc*TXV~`tc|VuBYB$Q){^!l8WsX z!Mp&Dh1eKj7H_I|U$;xX`M{ifNmG)MNctk)wNLo7eh#=w=l~X6^G;8m1GGzH^XLmN z(HVGSnJVWe7e$i(F@|NK9YRL5>9R`SoJSb;gRvR-OwK4F8D(EH+Y#vb(?8wix0jtL zd3)*R=!fnFHj3X#VL$SOk+qxH-%kZq6gVwPP?2Tv7R0JSLKG zQUr7l(Gb_&C=FlkbMkakhEjQL5CEC=p`XQf6Y)0gB}V&Y?q+?UJPpqz`TFX3iMx-D zw_YCcbdBwoKxFBhBmyaiSecc^$;k*m3P<-^N{efp1^w?mLlRvcuv?A(u^hH}t-B|$ zLeCk-xFh8Qi5!QoSWHJlz;zra=7U-<#ad0S4QCM|l?ZLA-b)x>G#9#L(dQ7c-QC4= zS#9_n=9~oG!cDe;Z1?vxGx^26>4WhkwE@9V&B7nsd5kVAo<1)pECLHcg{(@d88}e- zRgq6f{WO-8a)^XHeVQ$FiW&Zr^;D%6nX8Tv1f6hG*0n$7P?Q;}QNi_-PjSGd$gn`+ z@TmSB^YTaBe0aHWZK~%#A6@cpqz7d+rLw5@MH&(P--0qGo%is=c4A|0Wu(BTS2 zHr8<|o=p`3vJ6j(L{TnM^`pJlcJjCJxa|6^rsaOuW6$arX~_Ld&+H?5R0zw;65y0; z)1nMhD#0h3nj5XPhLCHXhhMAzf-Y;Q2zKQd{{dvR_b)0X;JaIM}#_U3MmGt=4P*c&P8w*rLtea+A+1Iqxr!3CK z+zAXU;&HaGufYSP3MuV(NxWed;PRGroW3HTL}&g^Jd$)3BZcyLE!?CBw#%HE5nYTs z3noN!Z%SK$jd<-rSvbPt@>%RSP9+`A=)^-9LNG>=(90_I>f0!ssjo==#r=OSfExdZ zSK>@GwRD7sgWqYVd%qXuJ4(WcBe1wVdg?IvUgfSJ90|14VZ{|TgZ~MR{e1Zi7nHZP zWu1`Mx;FNp__b7`BnKuG$dWH>_zaao78fD~?qM_#A#e0DGrX&u`7YYUd0gB&|M41v zAO88;afOeoDdnhU@w$~i@^BNjP^lR;e;k)8;6O5On)f^5?TW9JP-g)pYHju_=(7B_ zKjnV5Oxws(UGb`brW9Szfd=~FQS3cllpbhIeR+0Q1j4RWLSjtI_aWh{a@gRCJBcs* zy55V)D1`6A-i8G5fuCyeHgU!E85i%l&FQdaN%j~PfBn^v{rmdhTtT5#@T(34qTG3C z@+0S0`;}Y1O$>16ysP)bd;M3(PeXd;!IrcI)4OYcmIS3j@x+iSdp?y6p+84GSFSOO z9UDgVqXv&OtsAm{89YD>N;QN{l8K)mgif=||AcB@;b*BVrS}Jzf)c?%$JC=@xhDo9 z{O*hG9_f(TzgB50MbcMQz^coNj%V3+UIwG^eQ}LAWSG#sTyqVEutUSsS z-E;GeR=WcoVAs09KlSYv>gwNgC0KHmyzsBhc!>?Ko|h2`?0LzuVI{8>9x%AQCl>KC z?F<#bt3!<&g<;-!i%$v-pCDlDd0`Bjv`=^@lWh?;qxt$kW>xFH0%;tzM0l-)-^u@U zB0l=aIc&Rnab>!T<>;6m>3;Z*NNvgmzu~=PdUm7WewDvOJkUacG4Qqf0@QWV5lBGs z`|y4(%Ng4MfQwx(iWu|9q9fDIaKmEXX;FIC?X|3t8rzA%GYqT!QM?_`M$a3)Uo6U~ zY^{htNiP?_alzKDp+`R9nAl^I-r0J)uOZ@cdTo65y%Q=rozr2IxxsSj?^UX-R zN;F#_A#OIv*ddBnYeBBhCG5a4*1TXFXPK~_9PJ~5w7J&l=lk(*6b)P!c7*IkOQ@zH zDs1|LmIM(-hbQ2R?U8oFba!5*-s)w;ec~PGnq}fsWro3H+iNO|7kn4~2dL`)o^8n1 zT9n}fs0NP_n9Xsnxp0!cy~<}OOO8-}iNCk_zMcnq1}6RvliKX!ioYGO-*h59#$rFX zf4%az`2ox7<-yf+{57w%K5R55fxzQncW_0$# zn3X?~?>BJ93L~Kgm*XCY|QG5Yo36c#?OXhE43!Dj2I2OExXg@Ik z?x(KwdX1yzKmE&R%7HE#O^)2AlNq7vkb>q7q8Ztkwbsc`^pkUm7pYDie;O~r`gPE- z@O!y?I+)FWXt7uPZHT0g%xHxSYp2Q7>FaPBe!^x0pc1y^LYOkW^vHCsn`rs-tU^=b z0dTVx`m@u84a!~g;TiK7H0t7$lU{mZhb{^s~UeezsgFG3PUexa(*7pSj?4maZO zjwAVssYs>|YvmvMMShh0PM7)X5ux4&saa<_2z%n9Dtotww33nT#|5<=GP^ezH=XA* z9vs*h?L?#ImF^eHh1dCfmcTAPJR5Y+#^b~tcUH!^{O^6fF6sp^g{dX3BR|NAvL%bV z&>P&Md64DC{8hgj>pPKsQDZ+o1afy2A-@$e^k|i9u!(z=W@QVXz4zLU%NX9Hv};P? z)hIFVQ82U~-A>J;&O6&mFDp@QXLFocm|e%sZ$G_cKD_;Csmk?Rx zzfMmNu4U6K3P*P)q0n&}A;VW$x~-@$(dNW95}(K=&bF(AOoHw?Sng)c9fRbHRa6rX0$xveyIBY&0{|rBQ$Tg8^jxpkdJ+ z!+6&S9y;I0{Lm%9k%Gly!kHfE5fz`xPWya6y6r>(h9!4YtjCg-s@hfk%{pB!DHYz1 zzXtA7QJbYPfX08p{%HwhIR(7dU&CYUyJW8wk66mvo zTm#q@1!ZUG4+0gZ^Avyc>>(B27iCSiR-Gi~Hp^tTziouZF$o4eRiB zlJEG9cbo10Pr{(w2D~@|{48U7He~-!mDTp?z3`pykGauoPx^_udO7ITB^Ts*iOHIC zf+-O5+|?|HP-o=a$X)2;IBK>+8-ggsHFMrhrDzguElGi@2z?7+5`61>nq^u%9PJ+c zSxZ#wIA;D-XgS2UK!41|hROWfrTM71Efh)0u}@g;6Vk;J`%?ZER$o3REGpt@?u+IC~;siqsiWbVtRdaRKB!6ZhfHe2|7-vSg2qT zpc^D0+ZU~!`p?P*WvJv4y-qNZDFZWExhXfi5yl;9uTI!YVRU(^Mw-Txd9mH3^XAur zRj7VaVD^XhUK`?NobYzCjOe5e|NEZTkcaoQ^1iIz>ftC&skxM?`LfZE;soW$BI52z z1A&-=du)s17SZwP0%gzLfvNqFV zexgWb7F!Nc!hO_?c=7#>H)r7a9Idsj9sPqJ$2454CUGl7`8>nSZlHuE2UlHEuzV)F`zqiEgMy4{8>(!XlZPn#wgobM4`OXl zSAgC3XEn5OvcF^W6*-F|gZ(jtn|mBLRlX|~Yug8@8Y=7QA-Nd*~v_eEZtMSh1Xbm3_jztuNrb z-u#V%)r8%2LerYDZ$@~RLh!3^w02WMg2!LiD;|D|Mn<18k3D+c=AV#A7j;!P8UG31 z;T-tno#c$gs=qy!HA7t7FG25%R137*gcpr%9qG_b;FA$M#4UgSh(5~YX*_XG`yV2K zcx#4Y8h!N2YkbhEMphH8E2vYOWSTC(yxPY7O2mu%9IFZw-pJzlTyz93K0H%A)&N~H zrl>ySwz?&XpOu{_%DzYRCrNU?30`{1Ts#EKXCeLs&*sxlK(suoi-I1+ZTKI#mp3nE zb+l2W#~^v=a^LM|g+>c%OHruZ*5Ph;wvV#%yVVy!uVmd}`EptlMizU(NZdCTye=N) z9)^H=zw)K--Mnu%jfy!V%*D0P{Yu;&wq#_p1_=Dvf_r{87Yn|0yJ(19V|0D5Ty9Fpsy)n7DdiD?vs5)5Mv0umsPP?DSV#dLcCZhUZtk$oy2T zpMV(M!(KX5Ggu(OUd04ek#fFe1NBH;|6!lN$1&Z{dsP2B=Sa)zOe}&{PWr<|Ekc`w72)>)jba7c0Er{qq`Th1$gjO$Qji=c z!kOPjQ-&g}giI>y(yd?vY@Ct&kmae>a0j%UAsepQRvA$a6F&QqbL_`k>nY=8PSA32 zi+tyM%KZ6)D>YE>On1c^A$M>HCXVxB{l;@Wm``S2SBjXlDV=9oSwp{k}FRpW-|%pMIijR ztWI*MrMk)>211!5X(%uGN&Q-#6H}4z8(Rw>(IOdG>*Gme@BH3i-vN;YS1^BA#9+i5 zL*+~cf#rCgXdrupSPUjdRKVB7D%(nn50|No2FUiBK?$K2D4p4m!9}tBzwOFRSq$G&PwRk;Ns&qL`7xyh^2vqwC))-wC7Xirk2 z&7quXksFk&6&Xt&-;X$|l7nx~l2`^lH-Is3%wZf6Mkw*iv84o5T4NXYla{s9|+R@?&N9~BT#QO-24LLI%<@mEM3a{UowUNgTmJ-#Ls zDt`jfq);(yAxw#8<6VQw20!V^BBlp70L~F|Je7V-UPmr&6wcArdU4qfuRCj}YA>%h z*aevH+HPKOcL%jNimBm5Q?u3vzO+OTRx)=ANIbfj++BQlp5fNdb!7G)O+BL)9R&9o z6>N==TUd~-AQS%^YAKY7PaTd9>znZ!`9s0r6@0N56?zTZ)?!Yol)o7D$Upa)2A#F= zCv@0II?bZMz+=cM+}dDT1w3j#`tzUoQ<0WrajmLD=OW@iR4DQ=MZ0u9^~7mjs5`%D z*b6RCJM9e;Y2^FFUmHxuZ8fGn-S2{-t{5+u(FJKEc09a7mqlD9NndX@Dx9y zGk*s8w3jRr?N&6sP_|(&vpETeb`TR{+PcOT8ptARI6Ng;K6wq6c_R#k!CY{K(5D8C zL~uYW3-jTA4F0xI=~%7T^>WF_cF3Lb1Uf}=I!VL3o3^+~r-hsHrnBO2;4F$&cf zv8Nv+ly3A>kf=u?DAj~RNZi;M7_en^HOh@6`WHejbEBAgkVE z(|sCFb34jv&ot6O%Vh4M!Q`g&8jBmmcuPcRYyi2?ytN4PMYVI5P~B9K%uN}x`w8fK znaIDbkyAhwULrut+9A`?_wvhD78SkLh1{{VZnbgire)N1Cb<$z3=e^RQq zrL4ww>!X@k*K7=rYrB7U-=nv2>ZxTM!~f;9_9;g^gIg?5HuW^;CfV*f4J6n8ac*6!)5oK2xzm%Cqw6EP3Q1uV2*6;QG)s1MNKE$7j;3qG>1!?pG`*J)ol=rzJ^kxd>#ZlG z?87L2lb~w~nEOxz9t{poJ*#&!wMo9HBbO?Y^!==)cts+V6?*?PGVKgDNbLr_`SKu) z>cXwfYvmQ>sbhb3b~s3Q%c>!wah@0lzbnW(DFY!+hI5ymE2f5&J)R1T3WJK2r^pd_ z{mS(Jnmk+eR;W^eNra)ZK=@pU=e(3*JxAr|sFqF=P6_Oh;*+D1SJfvdC0`~!&7@vG zwl77!@(oJ?kDM?}8w*kT=_KKseKA;Vv?liqT=)OcK814goN7Cwo;lo(-Ren1(Q>iw(u@5`@%tV^f(Qi}|~6p_m>6r!b^O82ek zeduyQF(H-=Z@%nZ;f91?^O38XY-C4i{T`o8iod-7_0lqJ>oBs9r1iDvFuUITC*Rx= zl>Qc3ewbx!m9~7_F8`wk+uqkEdRKCLzB$ZqlyI7}Q`zC$k4f~OAM>>_EudD!?~5LS zF-)`Xi~f-*Al!;lNqHAmOYBx%{Tv&cum+w_xP7Lgh-T&+ZH)cTq&X%MEB#p92@q@M z_JSO=x=d=?c#rG23oKl&}L1EXr z&mY%qBw5|+U~t!RDn515U3)VNu&-}+J0%ujhw*0*q< zesTXtg68C3{tl>!E}AM$y5wCZaP2Kbk89f>p29kba_sQ=IZ|D6`gbibL!Tl9L-Sw67owBXwtQ2r;Oar)pd zH)(lmEDg&^D9iSIEc??jvsr>o@4B$`^&UD-*2{x$w2GeZVI_&Lwhq?$UJ4SaZ$Bu* z{i=Qy-5sYxkQKa?UZlL}9ukJ1z``gxcr`T;a(O{)7}Ld_-ih1*qxgow7*XY$k3+ss zsqT-_ulNC7O*#zSF6+D}(YvSIQF+CeYxa}}G`5~obUW)h%@l9s85EP@wO|VIoec`il5}^-Z4~~GR+Y!bK}e?H)_To8RGiwa$7&jh9W>%2 zIV;7Cw>IF+l1&$+i332jh4+O(>C=MGv3`S!m@*UZT9oxt7y!l$yhk}golf8*$tRj7 z&1tYFGxSiZkc(X0jlkm~9AenS+bx9xrq!QaR`PK=db#tzX5^95JH&I*-vSK0Q6{$@lnQ*30=IYJ=Az8V))7mr)7bbc~f{d^5B)Btz-<5T@IH6oAXN zevf}L;1Ik$nD+9)Z2Pt6lQWe0O>DDr!T3X$t1GkW6R>3XMzkyJ#z3a1HbLuMfN~ed zjf!nq;#l$ni7~9rJ135cWS4h~y^cK+B`4m6E6E1#xBHqcmq%4cqzJlsp3*5#sb2TY ziN#HchYl~9G7{WtwM;nQwwtvXY2^K;q5TLJICoYnkLO$uZVGPs6x#nf$I_+=h?_c0 z9CT(Z6!t1#I_1~9pQ>B*Z2vfd1z37AqnK>YtF+s8%8`!yLd=SYrYF*Z5^9uhRH&W( zkKO|FPu#qmwryVpnW`Cwg&vg81cKIjRS9PcoD-&cTh9m4c` z5qGQWB?!EC6CM-OiN&825iGS6!{B2`-~N3j7tL};$ig2iSnZgO z6VzVqd;g5halhX}MbVgVd)i!eL^7Hn`adircbr`F{3`bSkZasjUxIsiuE)Ha@6%`9 zV4H@3bJKgD{tr_B@<4m%0CzUFb-%ZSK8%8E1DpqN4Uxt_Zy*33Y{6;_gUq+mG?zAF z83YrH|Bk7W@|JU#3y^@ZD55|nZ=Lr|f3LfSaq8NN--XE#!r@0H0i$!L`$cL2zN~X< z7eX@k)ZZ>J@cNQh;yI${O^14~R=;o3$8%Zv#pBI0+g~egO*U|y#+Jy5-)4wMM*ET3 zv#~5%2_bJ%^Ro43{9fd7CM>1*k(BxKJZFMK+pnXYHC;WK-(elY-DSY7WD5A>g3QB( z)BAl-7n`rDy%kh?$GLsdY2R1aI)b^VeyVd?Ir9DZo^V!z<2XETi$Ym z9Y_JbTh3zv*3q2mm!b2Rb~6Nx+_T0fk=Hc{(XdNagzpd6T5~x1Z;^N0j}^(P1!SygNWgS$P^|QPcUWvcturPm5I^F2U7;AoPxm`ID zBO8MJiUluhePM8Qb3K~sG&{=XKIA?A*Ab;BcJzAu^5}Z5@XJi2d8)C^ynxigoo&9P zK;+2?#7{1H;_mt0fKt&c4v7Y8X|Rl*(3n$QJ5dRqoR9ZMdvsDsx{o6%%MQ`|A(z9~ z=4yqQZgd@3rRD8#4Rs)PSBN<_7DxCI-4j#!7KS!wUEpgFrD~e*(*jY=Q`OJC8nFen zRo^RV*yPBB+d|~IfAL6`1UkFAZ^#pvhF~{P$%QGNKjzeo3uj-t5IH*41{=W1y`ojM zKi`~qRVO7@#mBVxKlavdrXw=r`i$GRY!P5j;Ln#@qKV&Cg zUeKPWv6HmdcxIei{PcJ+gA0Y;rDOp}2B#Iuc8T@KS66Ae_4CR%@6+8uXvKgiJHRoF zifhc?#1*c;kY-eH6UnZ!Qej~Pt!TK21F71dll{Drcb2|(ppxU8iQr1*r;_i-gMIqHA(J{GT z$AZU$&3FW88-kUGZj49dQ0vQ}?PIN=K9V47!AdH0GVXRHJu7zQM_fEq!af~d0yAcu zALBB)u!1FuscpnyvA|nSgAvYcF49JEYEDV=xmu-4wLIWJvEzM6!26S}TWsR(SF)T_ zvd;Ml-k?uLok3BvT)IjZBO?{sB)dL}vPbXk%j z&UhT3vGlfre81KrG-}DjR%@!rcPFlN&qhpaVg`lT>>Mrnf^POHJoW_`}(t3X{1uw}ynX za|)>{z!jndc)SPOdmpDY-|mWEi@Td6?}Zk9jZwMol^&0z(u&{2bOU5SOibVxT@YSo zo1cii+OgNOEDwZ-i>`g0X#SN4a;1Dm2(+X3u+QopQWjRNUck>UVnkD=kvp9_M_Sb$mX7z{6{*$}5fA_NU|Hzm2NTI_Hgt zIpm?}AwQ?%Q|XDYEWjNYZFM(v*5p|rX&a(bvRt`^d5DX(|K*rhjXh40f z7^Rj4c>Sd%rqwLu8I82TqSYO@^Fky%_FclIx@150w_aYa6amrgYjdee!Yy@w5Dhv% zJr_4qXQGp%D!t6w^a+)H{TY{(Ulv&o z)&17YWN5PmYvP2ucs~C1PTU1-=f8ou7c-mwL20fY?_ZTCM)^Bv&s>wue-hP_tve37 z9{0GFNHYswpLB-;EJ#9iPIL`bvaYHXZSm5F_E$y;1E}PxhZuOaj|tM%ngd=(Ctocq z9l@#_rIC^AUSw@&emmB-mnqgBXK1Z%2qID?n@h%X|-*6W-k5*-K_t8$-gr%ZC4e;s~)(`4`sQ_yN9ch01TleOGGw`b+U z&YjrpzmVe53{_*aIjj2Iz79R|7L{+b92nl9LUvA3DpW=uJ6r2@PeoX!00Mlloy$@cSEo4Alulo7E3(D_2T#3ERV+%3?Q7Eu>t@aAfi!_g&h&Cdt0jDH)6*Lf< zHE|>jNYSJW6T(uf_IE0y@Pq!?dSn)69U3o7o_UZ-i;ryGmm6(<1oP1HVq~D%OMtTfb9MyuFLL-7oG6?*5pdV(vv}j^F)-9fEzC zr9rpr$f;LwzKH$|UAiX12!RoLio-bvyk6Hb5c=@GcWZvIZZ z$EeCWm^cFxwxK5{j3jtvo=}~lO_YhWEn8jK6WZNMuge+H0{6Eg^bKGV(ZAb9;{nm2 z@_&0dpVv$?OAi*JmyzAHOAqypSsnc#XPgkJec)kh+9QK^(7AN9jT#CHJr9G^S9UmE17 zXkeM6AfqYd^JX4&i_>RlFGI~=NWvoiw<-Fc+;jE9H@8e5?S_eRURjx=7E@SaW>g80 z>(~y8&@s>&p!dIQxDW~X!v@FxpxIAXsPZB9rL*BZz<3Za3U8MDz z-~x)+$+Cq>xo$6sK2rK{MwzUjd0!rVePITHw5dTVDk8-yPNSuc7*IgZ+?z)>@KDTh zT~XM#iv*$pv#xh?Sl2~1T^Y8si+OjO#cm`% zo4mI{^)ZWr+gj)|6cyTso};7``)Qb0{lk%_-p#C%+vykf?+5GCMtD&>F@o+XZrPd`nK?&AH!cFrp=%rGEG!UOTO>tf;-YV zAE{Ev*r@mg1zgMjPF}tfd1gR{5h(xj`YB1$FzPDE2A!)%+N+q=Yh1R75({dRY z->+8zczAeP-h|cR5GB=rprvDSd3$9IqZi_=|MXI;c=Ui&>jPpG{n_i}Ox}szT+!W* zut8>04#!WjmMH-;F#%_CxL*v|`L#Zb^%?6ipFSSSzfx+FpC28f<xHc$*&#t{cuCd2c28fL^#x~_3!o;j3uZ8K5ecdQz zeho00G1qb-5>)jqcR%LCxsqlF%xSZx$x$jE#CJ=jKQQ135WQcx#ny2rw{B+rkTC!! zH7R60C~R{2VS(gLXvdmgjCoAe#0=72R7g%r#t}xmP=jMi@gbE>FD6dAp2$oAUS@_rYjOIsfhDf=|LUMUO0^_4ndx3Q+|ejTG1 zxyTQ1I<;dcBO7jX*M(B#YtdWZ9@8r$(nxPP%Cj~Zo4V^KXG~7@uR5nk(m+8Bi|_f} zAu!|>Iu@q+u#oLETZAQ47wS%NkuCHG?tqEVu-NNveK>ajIpBVAQeT`||P3%DS+8PTVL2#KGAE zrWB}P#%7hHXBG`Z|FiFtm-i!9H7Nx@v=JYmR@RWz$ls^tycO+bCBZGfs}y4UeNMR{ zVz4~(g{NDK-Xvw(!Bg(}(20SO7xj+p&;YMMYgw6E)w+dbA}~;NJaT|UMp_9(9Wm0N zO_X+FrfKkJ`^=-k4GG(PI2*gl%?n^JHWihUHDyPE6-9B#m?Z*yUp%yL)mANudp$Do zGHpaUT4|!Dv788~Pn*z*-Gx@}pKiuR_sKlpa4Qx4k|!1&R2m^9@kAk{WOr9lx z`PaR^0p_PV)X)NPQfWAr5{KE5v6DckmX>P=!q;6jk=R!ca@QB!S~aV1C`7w6MJ{*9 zxqb>agmZA?1XC<0pJGs^!Vk%z<3wvQny86n+(1PMonRpTJj_`I${&$dRnw%gbo+h?FwApZe&X4-_Bn@nI4uk#D&yMNF=1 zb2@zR65k786VBtLNnx|zH0hbj%(|)~oUE4Wf%Wmx3q%Wxy@mg-Ca*=zG`PNS>CM6O zTuXvNpy>yfCMq7<$6rK1FtA_hOmy6MA~r^;*47Kl7#fOjyN?Zu%1$Z z^X**`vJy4Y_3MV%5%^@NSH6NDgeCS_(OWQBd=Ni&P@YlLN^D<$;g@=}!@$bxircC)B*t?69sql4Kb%e4Q7>D128DMCn@z z=9Pu~U;R$dTPUdi_2b%xjTay({dX|;yZR5nU;j0Qb}%SUf13$ol7Pa0DLV%jZiD@I z(FW`P{9!niKKPw|m!Zd7LrGHI?thch_(W%xk|hP8i;jRWO@4ylu-Ev+mh!xc_CWz~mu zvUtbBC-$8~RZ+j+n3#A&+TJ_|ORQb-EYHt(#MfNiT*&Bnu^8)gUanulyyrIkDz%$+ z8-h)rT{?$=fYWEvV2Xq#n_#Z1IV-NamzFG z>PGhVp=FW``1|{*vBkVVyyHD7d1-NTjg>kxt+fv0F8)njdxdzI{T7q#CzT?)9Yug))sq29?Et&uY1!b!Ep~5PUz=(>BM_bFk-b{LScD9%G zE!CB1-BlA)WPEJnkjQ6ucti4t@8&1=)x9vtIu9Q;JQ-<~3a;n2+)p`haW@9r9yXxLd=_7(Jl2(pq0mVF0I#Xi9!X2aVTSO1QYQsU6oiu)oE zw6|wyz1s2G7O9GI=JRl^OS=m+zv40}TLZnkJ}<=OiflZ;b0>?rg|Y4}lFdNIM2&Pg zUy7AX+qt~tXA_$&*;3Gli|{2jBo$H6b_AcrIiGbr!fu0g?SC6{n{7gB>2AkkoL8=K z=;hTZibKYU980Ga6%*@QA2!ou%nZL{75zP!S6GPhJLK7@#P^3b(dQ3GM>SGvYKc-U z$x)h{=Voe`^PAaz=pypd-Q8N_``N0f0QmCOMuL51A(;^?Q4<%0z5RU!snVtKez;TP zcgDnF7GB)X&nK5h#)J#iGTM^{<&772=u zqU?u&V_6Wzc6khRVs46TnNg&tT_a}f0A#!zNqH4EPcJVPsj&RkR!vE?Y@Nh9=E5vK z4^TRqIMuEXKKN{q6GkTC$!Y;e_UJzLv*0is%4L4=C7 zw|jb8;OBl4n5(X?8bA8GTv5kT0FEI0fdmOMzqh2I)o57W(Ayc=ZL?9N)Ma-U1)CtB zNAA)7&p)j1H)%vdKBPK_sah3|ViguVUh(kYC@<%!TyhwE@q<-VY=D@VSpnXzZPX+e z%Vg@aEs!IGco|quA3i&4FocD@d%oZEpn?TY7>14K2|=0TRqMH^C54POw$9FCFg&ut zEHyr6E7R$IA~aPY+z2VC*cg|SPhFg}kSpzvf*)yYM^tR$T;bw}WNOw;CD!@X3wE?pZmjLB zg07{lJ-fIV78^T55my%oxkrMP@yARYPbop9)^k#81T4R@GV1s&Z!A5iV8HzW37%H9 zJ#yJ}Fx*SnNpH^}T1H$@lRy9a$KChPRU$=L z%44~px@{LUpFH6tAFbE71y%C5mjZ`r&l&KViXl?2h-2LMa_`L8aaA*IjG3vpWvLbp zEm6SQD1W8ijEmQKzu$=9RJ=3^Iqrv)1S5ORcbtq^r7KD7O`Tdl8CnLignzTb_nkdB>u|xuE&oUsOdE%VYGK}Fd_bCAmR(s5!f0@dZ6_Eu z>hy}uI}3F^f}Ohh^&0N@zQZYa;rXd4Wos(a?j^K?HeN4l6h5(F%&a7INWN z|MO12J2+~KE6x+&m>P6B^&gkB<=E(fC@N2lFVULT`a(kkRG;uM_3$Y7!1*(9`y<=6 zo=-}>6uW>VD>I@dTyWKX1etJ36s4iAiR;b}zD??rAoZhSZ>nh<*xUvS?NJ5Ft@$oib!aW4BTi-zK z+y4%0e=p)z<-lb2f+gbbacut|QQ`O!ItTt7K|Cg$UNSd>JK88|84V_^{Ms+nlYX$h z1w+NB(RE@!M$NuxYi~m%Jz4RkK-+JAj2@P9OMT=?Vwj9-wz5EP_5#wF%gi|FT^li( zVF(F9cw8dzKR{Pq9mc5QdeBQM`wOFTqZA}eM4B)MO8_OG3lf*GG3PJp=Xw3pXwlu( zfqjQhqp@?4IItds5DOO&>`xD@8}U|&2&UR8#(04-2)0UI(&~tP^QTucJ}#EP(r8px zR$>p)?;AI4Q1(Lccs4dPAT};;Nz~SPP3k?olg_Yi!o~F>Zl|TSgJ&K@Rq3l}YP2G4 z`&ZF?`b`}A?LWXH;^PQU+CfIs2|ujCom)OkNJ1L-r(qh=%D&asdX5rVoLZp~fuRV= z$U)jiK7}57*BToeXr%YV_L3ra5r1W)dLtl6JEawAB2B!DVW?lO|38gEXpG86X{4J# zQS0C!+FX1vw&z8-j=zZB$3KLRee1jM_cdeKKCYOSf!t`eN)X^5j2POo1T-5S9=>2^ zHG8&VpQ^2EL{fS#UU_{FLdiIT=&_Y1(w`p<>(XTWv*+N$2ZVSGoo!kf;X~=Y6kL|H z48lqF?A?nf8t4T(rnhUOfR4kuHu^2Lc_}JxQp87a7wyh6fwra7@Ay7za2?X}Bj&sNPHu7HwDVMvQXtrzytv z?qSJsRn=7tC+S26?Jk0**V>I{UNZk)dIekCS`{NT`}z?!kf1P2Jw3g&6A_z18&OtD zGO<>1h@f|_n^KR&+y ze)0R)kdmAPA2%Bwf9wGa(zt$v*UH1ghz;vjUjz#gyO%J3X<8q%&nIz*!lX(FhY-(W z2C;FM+HdwT`sXWCKxbH2rq7c9s@>RShnA3Y6sdlS_jqSC{oxB>z& z=AMCjwgsW9=^0pp*5F`)EAdICxNln|tOKnGhz*CkX$8)fjZj^&s36?I!G1)?#3{79 zoJ(HPw1qV=I6$?fno`3wBE!QJHtU@yzwA%msMM~do4RvhyC~3QLwy|~481DmQJ7{> z(51tWBpz~XY>dpkuNN!Vq9Fp{e0D^mYT8>8@yONhG*5j^4Vp zi((4ebtx<=rDJ1|5}gsA1}nGhFW5i{pWvt*sg19acc&X{TbXRKm_oU;nZtyjdn^kUF!R55Jb)&7r zj+nSinEet_a<%}TAvsu^lMHvyK$z(XG>jZ&OxgepUSTvkd!VMglFY~f_bDr^J<}j! zflSAauEvuX9QH?eR6Jest#re;Lev!_?LUIP)_9QMxuWPn^e51Loq%Fn55(X%ekuaZw47>58O-Cd=QQ-s_E9a2&X^d4n=QOv~Q`&s~`DajG zTY`ufLO9wRk(!tZzW{d()3$Z=@DZZRjRc#P;E_lE9Fn#~+c0fYG3?7uEL8~A(P^dX`T6-NMkO>7W-pkz{d@PoU^0>^+o1}Zo&>7a^=(gyiv?pu_4dbqE@?3T$cBhSY5EFtz)8H6v#(3#2 zG@g4KfvFo|7|5p-(E{tZ5h0Yk#`1Bi^&qq%CMIrC z;}JUP?Hvl}4C@_Q+>lS&BJv>gprfOcxE~t=g97QfACuCef)s6caFCq_MzaO&7@c#JoozQZ5M1cpWh_hWph09`H3@Ck_L zLM9%F5>{777Y2p~xw!f;%!M{WkfYeM&X<=HLv(U@VIz zjLh}SsRI1;CqE~$zYwp#`ZDA3MySS`6mnmrJ=w=bCR#<*`u_d9@!hAsg#lYT!lDDv zRX>FE>_iOHj+9B;Tw+2TM(8}SjSj&|?3sb8S;grh_=iTKw{McRu5e=4f>fZfj9H`g ze8(^Q3&RtYFPj*)Kzbmh2@o{@*fDtVnh3I8W5z-!VYU+3EXa40p&KWU?xHO%n_%BM zGHE-*b5i&~kYM)c1&?RG<9lbBKGpqUG`gaSDvo1HBp5g5${JPnD+zO{sjVgBqNEkdkQ3`DrLjOtZ@qZ#_s^0c z&{h;3IkWf|LMClNH!v;COV7UqBf*!U!4cTDV+R9+GvP``*w9#q!v_yCq@@wBzw#op zRQ!~xX(v5(ok&WK$B{ip(A3-vcQUn&t8?+#qj$sKk2$EihY7l^r4NFc5)2NsxAnrs zNL3b8lc|y=CS=f-MJEN@LK&9x7%`yBC#S7xlu7wco;c2Ncp{JZEb=G?qj2H%6X~j< zz6P}taNgEQiP?=bOCXM}is3ogbPhNOZLl&W%`j3^-(hspyWSQ@0o~}nIJzy#QG$jR zsDY?JE|XU5yz>FH*Pp_3Kl?UprZ_zK03i%k8wMt0u_DVIFFd~+W`ZlnT>P2cvJYFf zZH6y13iR}iBiO?9CjA8%7?#9< zEI3)1T!NGW#yFMRqNyY`O z?c2K>M~@xl?-S^3FJm@|c+|BIA%s}7)5i-5eC@y^AGnX|SOQk%t%QS_5W2hC$atsm z7I97^eNBjp@uNCD1WT}m>T)E(p4oWl-Vc(=l5vykEQVoei`9V>FTt9Ur45OHmb z`bwnJ7X0je)HX85aY7TSm;s@l65gqTlQ8>wk?D3*4U9%ha|4ie zS4+o85{ggdTMdyOCi-f+2hDl~?e=$0h3q!+TuadH!kibT*=(pdVXqe~cKe z<(VO49%NW{yXe5tn|w*Mg^|NlB+`nCi)hPog@14w+Gg+I$OM|mth$x7B56=m zn}+UAJyM@=xrgoyiM>BV%~tp*+GIGVt6BFCvI(cT6T{MhER&+OZ3L*Nzv)Z?~7y zb6AC;Q3qal>2>_CPkq$Mth#y#S=fgM9$@gf`y_3J3^b?E>cYr^%9;smz4LKOUf#NQ zaG}J%!v5SNwNU^7KmbWZK~%GW!&lf%|LJ;BKwB8v!p_af#cf+}M=TdGyz}To9K zF%9$nh>bSj#IaKJbyuRg@|3bim2)A9oh2kRoOUZ8dI?7ecC=IK7(-H0vQkC1ZQG8_ zj5H>k^HI1fjY-a)lZ<2bYxZx~^7(Roiia>YB?aEJH%Y!LvyYE5c1tRmO}A|!mMmTw zv}He$a)jwhi;9Y1I1;gHOqcWTU;kT}$)H@Oyp&DQ)y2d)hz;su=DXPOOFv>%zw7pG z=xVP-L176h8hh~St8Xc1McL^;Vasg+#Dr1WA}qu}DE=62ga;3mA~ZZpXI6_P{1uMV z8P*kub0dG!7?+&WLY$8W4al+aIjCv##9(J3pV)0kPF;b2{HHHaJ&C6@GE6AKIoyBW zy|gj8p&)-Zjrb!}w?^r)8d0iLCyOLJ^FPlj~m>j5Kp8q z1z`?D^kPZ?GI`F7hf)~O{sa5y#cWiEOOC0r5i2|ibx2Hzfqx*A{1Q;CakHkconLTYlJnfb zRsX_Ee^5RE!D@-rXrzibIXR8E_(Z062_{Tn0A*)S;A00BuoN zC(YO{i*C6zPEkpcaS2H(d#H>|7=ly)iY2<->_zCtmN44!rip{{VLqyz^un>=2JuZ2 zqetTv=`v19G6efh^q}kbVLWJdrc7SyISSpnyV?l{@#I5-dBy1I9AQf8N!Mt_ten^9 z9XsGspI`6KNdXTn+&dOSugVHlcuxeEV>*O&kXJUlRYMcvp zQq90%pe<$66GK)P2HDaR=pqGF#Xe-jslpS42&|ww=zRfob(u-ul+9uwcQVnA_I72v z3Sv<7^t6?gfVwc{XeV=;AlNjRhIJE*LU2wj3?cD-(^EFShRNWJC@k(}tYH@(e((b` zum){9*UF?t+7&z7D=+^^6;sX0VoV_)8a@3MdNrY(8`?F~&Z)m&9}4J3_sh^#Zoq44 za{NWFMRXR*q;Xj`5x#~{36N+#kzcLF~p6)#-Is1l8EQ8T_yF)KGp zTH*>|zmQ;rj6C1uz)u&R-L+=lj6R?6`TV2mbI zwuuXa(cf+W1$2gW86wL~y4WT+xwcql-Y;~fF){fnYJ_tk33GhsCC5nfp{svAaYtse zkNO_YOakK$9|dP{b7QXaNirv@gVv!@BGbF626{5-TsRlLiOkBRY+q;3mN3*MRULng zJXrN{J^pF)4GavTsFlXu4!BN)Vt9yw%@bo}WPxh&xw(z21@3Lmz{$dsC^+>h zR;}K`>d$#9WlR#_sCi9ZN4k@1-r6ivB^ag0_rilC(6__vXFZ+sGJjK^-w{5__1BHx*WECBT_w4@TOAL2n{&&VqP8&S%01H|O z-beO(d?Z;AB2i#jI7?85-M47$P;w2MTli=M^|SD&Yn9<+*1x!G&dTIx#0LNRaLlL_~O%^#Wh`AMG|v*x163nN_A9mri5`Z zJL3^ zZ6)~e9LrU0*|J@wEp~POQr#hb@fD9 zN7BgdT=2KbLeiw2h=?eacIQNXJ{j&x&efk;9ZnPJAI_3zOf6$`z|%`4D>qV6R6N?W zrWu^<7*QD~Lc^j^b?PLhXbkTwd5z*Ki&-!eg(tmx?VE4MD_yNfNX=&U(hMdzbV^2& zebrT62)xcX8FtcDs^+*5 z))5dKO4=CEM(Hx@|9%gXggtaJV?@>d=djfhiBV$LtT9O#v3TOYiwc>yYNN`tuEif} z*HP%EeePhu_#`uG7+sl-A^#|Rx9@;|m_?;fwux%bi>pc2a-80-F9mdlb&d4ZZfpha zJaPy*d25u-$YE!}i19WR<7O}gk{LzPo)yZKAT}703J6K?w!uC|>1vY2P-$loNkMEf zl1cL*Lv8#5_!&M3@^HiO826{GiyVuK#Eq$ugDGhQpqH_0hTsl#*j__Z(`v=AZuJEu zG?g-2@@j8uV+c|O=|j~kGzqzD=s9$g+Jx@dw|hS_vr}2&CK#i3Unbb|Q|0ToZoi%C z_zJ=u$k=IcfAQtJ(D9*l+hjdf&LQK&d~4qJEJuJ#qRQg1hg0(xl9HPBhRsilh}hYt`ccF|xW#6B?REi8!~Z9PZ7nR@#`R8Iv|j`&Ixvy!h4+{D5W^rSI`j8Vcu=tY)VSKtqKKa#yZa z#{Ai1yM`&=ltV>o(Z(+Z?TCm-G7}b>U{=(Q&JpzXI#7DHn$Ut!26?-pvuhL)kty5< zILkCW>(*~j%t!i>j1WmlNhlrcf>-hDa0Dm9LAp=ueF0H-K8O&80rd_JqlO+oBPCpk z4V2^LC9So!m4VpNIR4hFaAl~}=%fqW=)D!yJ(f1Dx$5LiA=vxqq`J|42`=`@6UVq< zSf-0N|H!~mj185*60(^|-@D|;WG-*-P;8H>~Bu%lv6vA13S=2gKBmM{Om!=DK;vOwim(| z+B)#|-q$hd)=Wu_PjDi+Gri+zqhZETYd=O^;;EW2W{?X>636xRwBvZ;D+r4WVKp6M zune)RL=&qF#F2B(b1Q$Y-CkunbBj8?HhNGX~&N_rFQTvb2A%5j5 zR5QY$s-hf`aVdxk@TB7iM6M` zl!KD0zf!z(+*ZY0-uduij&(OvA`Y|cc?Rp~3A57n7bYi7Zt_7^Iv%z*Aw4Y>XU`O& zu;^u^ubg5Ycdn%&R=OdKx~sFBwwr1Ac=0=fnBCpQ$ScTh2lI>{gWXtzNjtM0Fm^DC zN$mXnebGa(32@I7A7U2J z6X@RcON1t@hjDZO-YYh5^>qY^cVJ(ofa+3;tL9kX`%r~`p3v;B~8u-92gr|2B?NCgm zTU4jq-60`iGt5d(BMV7mTAe)J&o=;tCy%4C;}!UYcr&=xlPP5kEZIeP17Q#2jFB@D zG->u)qik5-J`7PYm{3+kY|?Zia#_K}!Q^#g)=*|{@Mmfjcclg`a7xQ^ca6@yeZ6?| z*{6|cazR6_6(9KS->5S38Z*=AsZC-ovy$zyP4<^$fuH`$Kj15SUPCsG=xbN6M+YAa zW`^60QDYAbU4@?GhtT%i&)}ZB4t-}&qT-J~MX)IoW5i+^c03F_nPyl@x^_DK{RUG& zcW=xhxZM2OYu4tOkMf(`{E~aAobZJW8*d}>x*o%Q`zif|z~q&{K;sHTM8~s6-o?yH zI?;|Fr}J09{mL#A7UYMhFkeC!rU`)TM`zCjZ8DQ6tL$QKs4o8IP$1C$ z-d@x-6j3E&`j}2XMEb2ni0>wP)cgssoKz*HW(IuAesI!Rz@cUI>RGGiLNZ1DLBnaE?KJBrX~Ss$I80^M!CFLmF65$lDd$cVWvAi1|3}^ zTnIMQ_jVC9>IRG1h(N{#1_v47V~V9Z$iQV+Cj)%#wLh^GdI0mC$I?;d!%!brN_Xd& zVt5KnG^*@dv(I@eex@))Nf9_*bOv=V{v5u{-9E-l4dE00d_asZdP;JA<(kGYSNs?L z|F2^2sx=Cp(7*y7U4NoV&aVBorelf~seK6}8KxI@%GCyMf=-Q3dWVK(XO?n5$E1`j@i7XF-kzL!QBJn-G^9cqmIsnh($9Dxt4McFUBoC_`n0| zwg2D&)ZFzDeCQEu*#8=OpM4$CD;RtpvI>376cIAof=2T;&yKtXlk{yELJc2tz8IaQois|3sRCZXfsP@(TN@e`*pFXY6jt`K?GV7HxwPCPA`k| zR%${c72d>@IDh(|-y!Jq>zHCOjdA9ln({Qljp0e<)(PYhq3+JK${I}xyE#jC8WVg&w5g{3Mvdk%N(+^Xu${nu}vMqXYXft&73*~^?uLsllxOJWv) zO5&(kD1xeB2@T+ufF#5-Yo=NNmta=vTs)^Nl=`}IN>YR9Vj+X(<~|zBeTcIPqKzsD zrkHSMW0;_)(2B;E9s(pg;q7T7xHOa52y(fv2YPykP+42eDmXzjB73r4p@YWzNXFc; zII53skXLHw1C66)QLKEb)#lygBsYBZ*^ z&>73QBt++BBRh7gO^(ni#aq z1bVK%IC-)R;gKs?7w`hJy08G|UOmB1Nw$VQ77IOk?AHhjav^ZhAKpHmloXfp^s&|tkz)~)O}g%eHGKEgfo_K8b_I*DK|F|4l7ah zmQ@6HWimr)Aw8E{<_=+5f0t__FOjYe96A7$9f9rGZVYwXxorYg0%1Fuo@d)a}NJy9gn`-@ZbapX6em~Xt7)rnIRIk>4 ze=okPNBV__>~fml`%1#nZq7EUO%mpjot;H^LYE51kdTbNz8;41wPV|+B*et0QsooT zUsOWoRF{kj6GQww$s5|86^knn9lIK_aWR)&FxvTqS@lpAJX?C0)mCCKMwN47!ktXY zOJT7*JPinBr5A~bb2!HMn+rO6`nfO%Xrmfsnflf6@U-wa6Tyxw`_(^)N!^C+~U~ASaM!F?{d1)E;kl!tRk`05&1tf(1+j_WZQ zf97k7S&7Q+YxY%XiBB?pv?MrO3QSaZSR`tys};l2RC=*>$+*HoL)1&=pgB%1H=p;) zb|rgW<^g;&=lH&1SlYtq@9$$K%UYtMb8zg~5#Ib}tV`Ocu0d7hS!S*5VNk4tg-H`w zrN+j^Ao-~zljS&*wb}WE^`OKv#2SI?S!(Lt&;{*cYUd;OK;fwqWK507&Wb^MM# zcwQ3|^m!mUGK>MbG{kdUs!aU^;|p1)E6CrB)T9`U5HLD99ROEjINWJVtEwuas%WGA z%EDrzlUz_NOkpyUVR>>tS0$xrW4KxG+T7*+qQ(U<*QzEALDD!^vQmyDj0tlLqW8ou zGAjm((}^(3IY!_1BzD~MfU_&^FN{R&i(-2`a^wiMZQG_8i+0|N&UuwDnrauq5@=%;c zPMV9WJ8eYFeqeMZ(_j`*W(6oII?do@59S&lKtNC$@mH+8+&7Hmv|Q)S%e#2)GSqI! z9;Qe+a9|hX{>HI^0l7Xj)`kQ{s4C5p_^p=-`WhOWSbfDx=4C)^q%RHX-UNUG{X;fN zeo+VvNu}E8$GF2L6c?YT4J97Ad22Z~Dyfc68h|a7$`~)_ZsGU?=osjsEiQUV7S@$+ zcA4YsCbZS&tu1PKzv#sSL_L-ogyYPpDt5Q7&Q2AuoS2qQkDv`@KlmctCK$>@`;^0t z`)zs{4}Id(N;RL|zc4LdUth&Vs;Vkk(K>3;bC!OEISnxSz{=cL!n`!;O6E9w4zuUH z*v}e$7JK~r`M4V@VQDwq%^3vlPs2zk&&pTTH@3I6Ld$2O=9B$va3GwlXIC|tTy@#XBo1QXryxjbf&%L7J4DP#o8yD#S z)j=EG;W=u7I;MP>oUV(DYy@#JEN6uPo<9KLAqIK}?Kod;<)R)V)=C(_6e<|6DDVd+ zAE3%|Mx|M%UjS__O<1!!p0SKx^jJD;5>9ZRaT=$!xypRz)3mwEzS`oI&sslo8KB_N zM#+5IJ317+AeecpJUl$n*4B(pN=%-f-u&Il@f#_X2E)hGOYLWLkK%7$lnz}PByLr< zCs!KH`x|R<;`?8K>oA#+gWgnYD{6hyaN8%oj2J#d<}xc8n=~1#j8U>HoMen(T`g@; ziODnLcJ4L5|H6djURc2lqQ{OO$DMcFIllw-y>2K4ZYaZ=y%=ujEN7ie@^TmQ=BECH zbu`#|(*r0fVKptQ%>$LKMHky2Ve`|cxa zWNKsPd>31p7Z)>G&Ip>=8bqt9^M#G2FoAM_ig6?bvw-T3VVFRA7>-irLqjOvQxOwstaz90dSvr6|E%^0?V;{o1uSu>TE8GIsVsTMiBG!n7n;*5xFU*~e?rlWY@I`Pj$^ZEIoh zwJ@0=7q2i$@ofI~^#d@|W7>846f({h6VU35fWTnfp1X-h%0iGT%#44ecP}?D85!wu zsI8_=DLNL#$M;fxWUWLd8|>KkTiDtP%_2LqaYhq^J!VH*OOHKBJ5$)EW)?A~UW zgQL*g+l_l}+bTo5YSWmBh2yTQten@}uhwZURl~!F578FLL_JHn+OBru>+rjl59~U0 zJ+Cg8ntWGZDf1fEGTn(!m}xFNjcD?&EmWy8oROJD$;GZDhGe4Dg_+qY$q33_(9BYN zKx*X)6Y%!-q*sdS*3<~LY~H|7ngHU2+LVMQeTozyZg~%PSLJf=;NtA-pFlT(o@TQ} z8AKbqOFYi5o;N08cl>ykn zK1_Av0zY~v4>DtCYHA4cLP>^B1B131c4TW}8sbUhSGOacwGeCQph&USV!ERm!?j(o zMPxJNWdj4XBVh_7gkz`|-E{=suDO?Kp2Ofm99%o?Ua_3F9}ViW=Y8p!IJe4vf_xWD zmXrt+h{}AAo!zf6KVkM#bXEYOCCs;#L*Vdy9sslZSuUStK0=rGQhQG`EbZw#`+~`~ zQP!Co92!!ppxw+Em8>Z8>aJU8`hzN6s%OnhO>rg&A zj+PUJFuT>_wyk&K@S(j34ix9W1f{DOm92Ba&e%UfL)blh!s6l2q#VF+L_v7HQm zicSMJ+Pkb&U5Cw#)(%R=6z4I?>kwq|W=+LZbzOu>X!Cw&;LcC7{Zh?BMkNM+VOWc8 zTHmV)N`2+ESBbTYQ4g)fFu=u*K|k*D6nIZFthv+HZaRqxjEv+WXA=n~jV^VOkR1NHnKE@4>5D4l)=|q@|JEaLf<>?YE*3n}}nL@=I_uqTJQWv!`3qxX9 zg6dM+CQnaaW_G~TgknuDtP&%0kajs{r5#&RQjAllm~uG%HpOgRJp>})q9jXyzW_3? z2}Kj}>P>Ns!3i1YsINqBS~BNN=!MCS(1;{5S{nhiRt(U_XPXK_b;A^cl?fB!aqeVx zozsHR7^R(#Fa`1%KObMx&YL-=Or({EdR>bBT+MDJ*GX|jnXK@7UPlR`8X;}3rD+UH zR4IX?R592421?o5_G{@|Kla)w@V;VL8o5k-!gnzZZerqul71xJt~5K{?6Tm+2rp8X zsDq7-^$4e=<8SstSfCl}@4JHw!$UC@ZShNhv@da8jSUQHCWc46X40e}YA3TUw6?Y| zCWAM3D2>h1L`@QD$%EcGS;#B0v+3<( zfU;#8p0qCq1_sb=-=!9Ww#cMExsfHTMq&m1{UyDzT~%R`AYBithDmh0TL`0=-Q+lF z@-7T$-~PR7OufBb49D?sRugvaF!OYmePs>;zAPr7H7r6ZK98~!S%2?v=bs|Zno3}DETNuUsiLRa;ll~PM_aWU4@ z&3^h!5p7_jN($3%Bw1_{oE#GuuP|8k^_0r^q)(x=BsaO%WPfQg25lU&SnKL*5yl)( zlau3I{O&WQ&y~GOJNUwJ^7YuUBS_}GJ)Rb-o}IIfa(>jt zI!u*mm|)F_=qNNa*P^lD6=Xm31@yHw;?;j)lDdz41>uQlWZce}M5(Ym$pR1kHW$YA zF~!T24e=HaJb3?uOg?DGfqlEkaNSru_AbS&gpoS~gvGYyWO(wf%~?KQs!4u)55hXu zZP>)0McosxCkQv`Krq2@5{4soy--Fbbdafpghk+)7oNx6x8FgpWEIX+b=$e~cI1;b zk}oTbx$@?e2d5YzlRacec;E|(@ItWv#dWPu)$;)MMxiqWz> zGmoV@*OmIw?x8E~bE)5!l4Q=i;!bdwL0gmFg|itVT7)f)vd5 z!1%jMNdQ`ZX4=+1@rh3&jQphR^l@a|`RB~fHHd;gJPqH7bfWD2&~WA$Q|K^h9{Uno z)HoRyosbw~2G0El4`Rov3}u7!aCak0e~c1Iu(Anii~n+SRuh=>PsSw@sd#HsSbUS_mf9jI<Z>+hDM3F><}Xf;1ABMjK+$<@*nSt{2|_KeJde0Isgy0(SE32xoGAGX|RncZ53`Fce66e;-{OMW5VToO1 zY@DU!NiDIh2qT-lCDmlP7MU^tMAD`5v@veML(i@C@}6?9`7->Io_f=W1) zFFb|1va>jJ_$cnU>mI7TMClI;vi%(h#--*gW0>8vc?yWun>He8)m7&a5fg`sx(598 z7r(^LyY5Fj>khUNsM^=rj;&j7r!*RlAO7e+@WkVfp_!PjHvXQKm5Cx2QH@DSL&v~4 zO31JzD~F6j=%<}a&Y@j98E;b~nbq(xvRUEic>YoJd4{2=mKZ%sshMIfpto35biFMP z3S1Mzk_986!CSc{#KjSJ)u5&zIIBOr^di;2BHpB)%GM=6banS3IWZnJWNwMfef3V0 zxsOvF?4%Li=@rx%l`MwKHCZsC?)A`XIBuU%MrH}#mCO80d(#Po2h<*&3wOy+~qWwAPyHk6&7v8*t$GN&N7|U3m6P3o9u1ARv<2RcSBs zjYvjV_6FF82NAz&GuA!&B=-Ny-=e3r0WD>PWMW6jz-id7IfEyj{210#9Xxw1AIHy} zRp@;2_SwkbuceV3s>L#TAT2482@d-Z8kfWv&Nnc|VzPJA1N)!<@oh>v9+;qk-NzC_ z23|%wx+6PpHIDDyi~AmZoQ{u1q)|#Wa!ef^?Mh-3`pu@Pe3n-|Rq;XV2yKU%%r?6V zKlsfnl$3ptm70RGrcUKBnPkdov8U;6c~Ic;t7;zOlY7kNLcz*;?7pmaksDSPvv`C= z!a08Y80{rpOc@i2VA?KD6`f%`U^$K-Jx&m3tCDV{cxE`C?#{-rhsi;H9D=92O);N^ zO>mkErjB+WGqW{N9bqBXxy(ww%VLwTp?W$2BzYZ!Z}@VkQ(64HX@KtKXG&N`rl6r? zKnjRD=s}Y06pM?&5vB(2?nh!txUWMZVF8KLpX z=x(fpIUy1)rTKW_$@^Jk!yDBlr*O~4)l6pS11oW3J)S1o(b5?#ybD7#zy~g(u&BmF z#CF)<$6~R>fJw4M@vPR=)?)jeccPg#EQzr!ru6i=FaHy2$Yf5PJc$qf*++4*PI8wyp(2W?`oEh>foo1Lvy}Sjji!Xgv;@^I zjH{`siScnUh#~YKnlCXn3z#xIJd^;^bY%<|T-J!Sn>eIVW=m)%1geW+I2JC7MkRe| zlGR-1qs>j*-att}0IFiY$ju<4N$6}lEzdx zlanqg{xLKxfa4gV)WGbUF1~6}hJ^TY-(KaxlVpVwlw83)TBeW~lLdmST^b9;{AM0;xz^IiTv+{hb`0!uBH$EL}%wyQTZHJQX-hBNP#yk>F#f+&9 zHPv|J;YSoaM9JDrB8X`lXF-*i5}#P8os3DFnMMicyUbHmY-u$#oJTRcs6ZAZ@7SA! zZLHm}Rpku((f7ZNG@|EQn%fjZo}%4tm};BZWFVsc93pv*>`ZtVN$5y2!Jgrvp`3FE z+Piv`eM~&Ik~y@SwIutfMhasT&+hlW_g%EPvP7E)RcSK&_=Gq{C-h-}9^crIKqCIb zl;<#vxzA*N&bg@JF8ZI$S=v(cb*Vw9abD_&_rSJ`jUDfS2`zLAa?{8SB$CeR)vMLv z@?FZoM-Vn3FKIp9JxT?!Gh65wF-c~xX{^WyfxFu{3s5lGUP>fRpDtu6sI^p|#xF9= z&%QzBe6k?BiSZF_Iyg8~*+?|iPn}Htb1v`$2lgogyTBtR9erdhHli5F2pB9ac(O4Y zAq~v@AS$6NLu96@K1p>RNloKsWL_tF`NRu3G-|_?Uob}7Yfx8k5D$O(Te4zMLj(K7 zP!H9@1bq0Z-=n$WG!yC#A?1$8SPQTU!5OPyq7=9N_S-HDjoA^>Gx5xG&l4{eiU%Kj zNP$A9Xru?Fx#`I+j&GQK)A-L93y+bxQl(-^GFQIdY0hP((O(K@G&VM%lhFc_7%`a! z@ck2qG1%9M1~Q%}Kk_jQb+zH~d+sD;!f_c_Auun>tv^h%fwcz1qv&BiO)8fG$ zWI74PJbBMKF6q zz8Jnp*V(+$gU$i{0djCd_PY$MVJa%rSc{U{%iJ4E6hvQMhR_cNyHub6M}K_#0f4;Zlsrz!;~YGfn5;k zlS<>H(5RBQ%yjs5A9?VMWh7C{r1w8!&(cMyyhQdQ+ zgcFF)UaJi7eYABYuicJ#s);7EKSs%1wS zbLh3b|Go#9akY}A&|Dd)&1%<_iY1AkH;bzZd_?O}yN=@gkZRBJ(2=YcE7(T{9TtgH z15?v7khLNQhS6?#QLU658hs46ilx!MtGyYgjvnOv%*0_4z{@`g<&vh|7wXGA{>lE@ z^%uv9d_H}u;6iF5X0qUSx!!u49|f*iC7j=BuDq8#cLeDz7w={@?WU6RYo8_CsAQpx zNk~F(V-32W`zd^i-$Z9MD+dPz6Ad1Y&OrkgR3H2pi)SPpLekerxKDF)Gunx~Zfa?v z)X+(_WSkHLe|n^bm}Rt4Nd>WlOGpTh1T$;rlp9x zK0X9jy4tA{dviR@#6T6$g#}MtTqse=>p~Sj367R+Qur0}`^-g_h3cHUTz^5t4-7JN ziH7iK+Vn(K{Pl1C3u~E~;!J5V3>?p<+ip`JTd|pmS~q*KYqyWQYxk0q!8p$$QnNd; zWWwC!Gg$@7Sy9@^6gL4O;TRt5M?2}YW;L=v0;gHrGbC7zGtR!i4U_G zRTCvg@uChhFgu@~$Ux=@3nZRw&)z*M6NrQ@>1}=#SQZkN+`#j>3FbGY#qXuvWD*i` zGXEI-D20Tw0KxH}eH%Sr*@>8;bLi`CfZKgvfqBhcm@xXni}{^$X}k{NO(=$A37R$# zS|E&O_3AaqWxAT!xOl~oZDS*}(^S*5=Apb@p_CFd2Bpp4`994$gy-q1cfuTGQ6^C; zNKDQ_{>e%*rVg6f+o*mu!DN(Lh5|VCBn^kDZVvI~&c!Hpp-HNQt|%cWbBdCJtG!&mi>iVr1?(arBnb-&!-raf3FhO;B|GqtFZ*4`< z=~H<5PkWhW$3ivCn`xfK0l=K{?wMqe%;ZXSaC%CLbugxnr<$IY@07NfG$wr#cTK$QL$|h-pVuM-8HZ%g>WPnY~`?YTE z8e+JL5fWfw<_!a(5e)ZpBRZ zxb@6|<&GhLADCC&gRBfcW)~PH3Y)443q~|BC9=&vt!yQ#pek=ZnUi3;1o>_8_ea^; z5+=Lj6P=4LE-sGSovCKDxocx6qY>Gko-<)+G9HmM7LB#>WaD zN}_X`XQ!uyp-TE_Ix2**$)94Rtcpe(U`=^3_At9f2BoS2+5=4#<6LMH3$^4qi(QKA{Igth+|Muyr|yqUQAXE(WtDm{OKJNJS!(-)1)% zgJ8jWh+vm&qw-nvplRw@7~}ue-}VGfp0=UCyP6Dx$z3KSX&AMReA z{{Pr}5AeFK>&|!K-izLQCn^gF_9`}s64l%!OSa?g#3>$6GL!Gc$@g9|d7g=rSCUNT z&F5r1GkJ-VNwH(umSq*IOO(Vac8~x80w5~UdvCYA-+x~m5~`LwR>_NwMBw7wQ})?s z@3mL?um3`W8nbbdi*Mh**M9AnKZj2(1=DQ~U$EfJ)3!8w7!9i#0X>D!sTAb-3?SD& zE67ZhW;n4j+8- zdfnQ2_Jqh z;&XlpEef+{CRvid-+G68ZHDWmRj;)i%t4X10xQ$H>4(1R8V`)6j_2&S09z1X} zkP_G;z{)kFIp*iDp#pa~N>vfp!L$taA;cz}fD8wR+`Yn~A@;FQgvK}q6Ez~bX+<$f zsOFi+z;Go~M3wvmH02h%6%1A>3&T@SK5aX;!RLi?I1^Ynzm?b4 z^*`8N*#m(OIw-m_s$1k>UsU+}pT23ul*OALtT*4{v?Xl2*WwS{3q>+T6c92?m@F!C z+TGb~W0dfkn9c@y4eKY)bFJJfg_R~Md(eck?9m@RXd8)EZN*{Ti+R?I6Frkuo;Bc$ zbWRvEqQ9>nuKB%=+o>G0Q6S3ZmR9^@BW_|SsaoOs)RZK<`PNU`vuI1boo8&_+K;1+ z#c@mmKCNpuKizH#ek#v|6!wSDYgdwO+jP4%HBZ|=zEJPl6i0rXt~CJOs^`=R3nye- z>H4)c43*108z}z3!-UXkax>CgZeKrrvwd?Od4>&`VcdgUzL*$IU=_{~4orTsID`Ta z2h74q@Y=HABCvqT<~k=rL=k9)Otdh`-ce16@9?jMg5CV<0vek}zT|#P<`f6`yd4eb z*%x23b?Z0U5ZYe*3y1Ag%As{$A^7Z@2P_3jAdicKSlE?MG|ePvAg)(o}@- zB+*A@Q8YEZI*THDpAUY%vIhdMoLsN`@?XYZH-yaUwQEt|PuR|X^9>t$a+3w{5BcFP zpN1`9HdVePsy|agJ|;Y5McJJsN^4RlSVvbMCF?&%VF@ZnBXm3`ulmpX+<3XsKKAju zfje~D<#SKqKwb_17Ie&$-A=1<+*=*(E*d8yx)55A%)ug%_4+4D5LhO2j8qo+jZ%^`VMEwSWu7iO@$`dMN@%|a4eDT3 zx!rW%y>`#%{vX>?ddUjGlyxWWv2$ns#y*K)&^81PEi)M{EO>^gnd{%q#Vd3BM`9x=|v>tp*e*9nfwVVmL*bfAu z#x794OY}bl;MFjY?bNBGwhMTIw({~SJAUM8t11&l3a33dwP<9LdpNBQzm@Lgho4Nl zV6{N%aDTL{5CRgR>i4IR;GSkL|M*c$C@!-EQhqW^i|kw9{Q+*^$pl&DpJerVEQt_qSw*eiz5uLP`tP4J53A`0aoD~Q;Dmrj73)W1REHFzbg(- ztQ6>%aoWc~am*}b!VU3#I&Ix(myW({jn9C=qRqG6ek+m33BZ{*Tg}FDDqG_Vi-Xd| z5^No2pPc{a&c1BbWq>JZzrd&}EfxvNtdka#!vx01B?MZR~WA*(s*tQeLk6`Yl+b*gvNeFrGT+&gWMFiPJ zfe+EtTxz(C&`q}6Zr#gw5}qVYfz?yGkdnDCH#Aszd4*2pfA!4=+w1kfzgU4K=dkl< zkPoPVt5r7?9LL!WwXgpgev3vTb+D4I8poVu75N?j06+jqL_t(z<;1Tdhj7&V!L&fL z3TxnprtwXHv6)V`;n98uX}0q#X;3P!uc@gCcFzXew0RT07wDC7h$pAlfHlHTgFi|j zMn$3Ix2mkHaKcJvng+DD>9Zz)w%6=OB*caVA1bLeiOu%bJxwD_I=Jl{;Tt@J_pO=AwcT z_?Eb?d|MJWzQ_d6HcxTe37{dXoj|IpsBjMXI&urwR#!Q{(xuA-uEGaOK3`q)AiL(z_(G@2Rd6IpQvP(^$he{LRt>whvPQZ*KKP{OW>`)+5Y=q{|`HK z;D8hS-QAs}Jx!4w)JZ9~dfTz{CMzQiNN-A-_3|rn3FYFikB8wxro3o+E?hWGzG%Lq z5E46t7J)HXDwNwOU~3D}Px1MGAgt)jH`)G$q~=5?`z!iE&h*d>bEk_zLj6F89~y%` zrWf-fWVxA|nA#sg$gnR!RTm~G1|)(==;t|plP-e>v!ONt0d&lg<0-(}*l7LTRE2@2 zBr~&^k&JodP}tIRs6m)mP83i%f1sCA{BD(%nQ&uuX6j2==^rz|O#=5PKbAK=jrW%Z zxCC5qX%>Mb4Qv4-!c;ItbAU{B8E{!tqmhHz=NB6U#UN(8tgJZCzVb`^0H<~m5u2th z(k_m>>t-fr*+*{AbQ<3kD&X{Y9k#pg_6Y1?I7I-+oO4(!7YRh*OArZ%3M-A;M>ym7Uvf&Cks<-t_9|WZDbPw z^T7ABDi`PU+Vx}^4BDEMRB|!5p#lET_TPj_%A!MBI`>R-?}rO5-$(bwB<1;KE=o`e z-@Z6kPhRADiwn)!sdE?X&ig-ay{(OQiEMg<- zh<1S?iiYep*u{k<4S|1foBJ_e{7kMq3ZLdPAu7uicE@=Ps54^8*0)$iIkAn_eXWPc z)@~5&J@)Jmzisz^>a*64PeVC^!UNsVoHS_>NuG?=Jk#G2V9VQ$PthF`nsN>&CS~9g z>9)M=0vqn1v|~p)p?Qu)AYEmmhj73baUE$sOw@S1)>>aA@GkB1`SuIt!30eb)V?b>l~zT?pEm zT_Ea@9JMt>3$+y#6xkQP^vf=9G7ZgmacRLW;g_sjRc=jJn$ViFz`Rj~o5zX=O`nK= zQJWC%tt~CiEl^dthQ%+9g-jYL@DrdLA_kn{x89+*MTM33*9X5>_P}dQLJhr@tNqG@ z|5<)URSy$JS3Xt22=`H;Lqbk>KK=6DmZ* zB(yXEWeP>3U!=-}iO6AoMPTJbzi|BH!AOQRoF23p7zUESU5Wcj6_c~TNagbPLTn-{ z{0L?i`UHL%$t4lx={_s}X>Pj+B-hpE2{-RO1#t>Dx3qK3bWGArcWhB1X*gW#Vm-c? z1#y$-;6UzkCa0b&O!z-c6i=joVSG_h$GC(9jbVc8o{zLC( z6wJ_?5Yo;Jly)S#9fZA@BwXJ`WNHKEbi#)Tspmqx>t7@SPD{c|a*Jz69r8pNF$Hy; z&!6wE6UN3%O^vfNr&}yDXM^ikv0RA4S(Um)Z{5*>K<4trs}5KoMjA8k$O%<0P9-5F zf=3sqB=_EQM{V+r#rO+gksSw6OD)Qrtt4HSkTUZW=H4!=pf;mwR4P%u0D!Q}c2U!a zbN=l4I*aiBrtov+p@D5xIu8*;X~!}T2LQW@jIghN5C)KPOz_oq@zN#dv)Yb^rt}~Y zGK&98OcIL}+mLHOTlD?9j&Xl~@Z&}gyhg)v-9)GV^WQYNB|0$c95!d1iP`HWlruv# zDV;f@K`oPo$;N7~GophXvw?G+AB0KAP+Xo&e63j~XR%A}W%9Z`J|=_wBkEG|z*C;R zKWLNJhOEEtL0i4>aU04jvoI4V=i(8&)^^!aKKq-X>Az#4v>=ftuu$_%AYUcy58~vi zup}J%qBIurTmG;c8v@7WA8JnwIflnAHgeP&>vK6S-L{i^ccrP{(c;{E5XAP$s}z#R z$0t-sB(Vd*Hj95nW-Wq2<{FYRyo5i_FPMU~q7<~P5igt>Vab0^FI(ny^s~l&f+MeWCt!O_i2I*Re06@?UNr{pir?LcVjHOhpMzcMpE? zj$#uwTkXakz_*PAII@;8OIbhzsBnZMTv5basulJ14%p$Nv3A#?Y8HY9uEU~&@YHj1 zaqfwx7f~D7$08OIxHM*%XdyVYM6wdNZw@IrMQB3ZZLK!g*=Aq)@|R&EJ#KBJ)vQAs zdgjP+TfJeEwO{MD58ZaiI=kRB6MC0TK?bE2b#`_+Zv9o|RTSyii54D%(CbI@il%Id zuWVG9v@) z^R{sQ2bOW@S8NyreRT=iLt?*W6Mf9eIE{n*1O;14%&A`ot*d)JC zum`Q=8iXg0y+Lawa=$##6$)jAZ;lu6OCNIC`3RevjkT(Si#BaJoc%6p|mFcrhlB1 zTM!1Pk$~enTLmwLS?3Fs(e?t^6DaJO zTMqWB9guGmkyQc)b75=i>_I?ZbcxN=Qwv1w=7^B0#-s$FgfQ1elT_WtFphj@&g;Hf zh|rQlJYza0-2_(hm5wo6Lm*_!=8bmc$`yFQ00yJY#bgvXz^5vD@7T7*Mo3PtS-Zw6 zFi%s!#P#>}SVKb-n){HgUzbi1T}<>ixXTcjqP018RE0xo!D=PjB3*Hfw69b?%Oo5h z8+XMnJdIh=RyV%nS5;pKhM{pPZI=H0Y1>L62~aA0=p-&zQf_|pMmXBrjsa?f!Wp)P0f4Y; z*OtIjo{yFST)+ z5HUQr1ekDN{y3Ge*L9ZDS#(|Ag^R2*374l0=b%yHz?aa9n%4*tbR8veJKU5_*ixj< z5;G3kNY@-m*TZ)6%?Isp_^5sF!P8L0Y$88zz`pbulG96zNmsgple~cIDf&A}TO^d# zHiSK())*4M`M~dF?!)_cPI%$L;5bW|vhL<<2&{5D{M1iCzo$7?j;9`e)P8Zp4x6Kd zTN;S}czUe}znN%l1~L6EgILdk9b?1#T;3l7kiMOtZguUCPbs$3r(UM0$#yi`9LG%` zT$ptl+@U+}#w@%DG(x^QZE7pyiFfY<1dFXoztT#LS2sT8kNfGHCxCR;6p=_lC$a(A zt}I*c9iyw=5R^at+VAxd?+bzTvvrzYWps-|6qsC~m_y{OxmDe*;zCtxg^fO@Q?<3~ zx>a5N5;>5kd65AIHuxeUMRLqK(}8fehD!wBl=E#l;UtGJNNPKPohwM!~-5J5nT;_W0+y2Zma3!MfX9;3KQDmc|ChesSmR2hp~&sN!?le(AG! zlfnbowVP)PCZc)GT1oL*#sZp&^Rp>JDw9yrH*T%|MG&jqO5afgI1~gxn$9x?f|ih# zZ`p-K*4V^7NvT@9X064ep)G=0+lK!sH#>`Cw~-5Y(#A%v65*?{?2HNe^~W|lO7s|w z-iI$#iReqA6hOZL{8PEmKDQxEv#s-5mu=s<$5m^Zfk%JOzKy_0nrIk8RsvpQjeb%j z)yFtAe;U2?+NruyOQIv)JJDj%+uK8s2i|@%DGE1lv&_N*>pXXcaUSpZ>qTcHA*#+; zO=MGv`A#tEBJcCNtg+ z8tol}FmiwM;R|#oT$=_pxFhu=?kQ~$X^09%I#N&ZS$?4u&yb

^N0xOq}iFhBB$ z=q)t9VDYQg*+}YY%!4_wU(y6+b|ED2uLS33h~^PNT2vHCd{;{(HHUFLr?aAy4n&!H z`9WlUYVb)jk){)by&$yIPd@^tT%-h9T*xxhBv9IDpMnEQNQUf>QO z?&Z$adyt?*Xy|V(C}C;B@?9Z7XQ#T*P$x*dj)m%F+ETJVX>m!L$j>VV*LE3mk9F$PsG(>_LfL=8gGzOfDfaB!P z^!XNHzR0(d@=X9fA!US3A&#$7Fxz}Qdj{+nWl+-SUgu6f4p_C*wr+&M)UQRLg=^v? zb3q$DJFplct^vkTT6(ITKKZ=szkYzIwYA$_Amh@-M{WPU^`trx0O43SKJ^A9P^Hn+ zC(;t#cyN9}Ed(s1PzGsUy!szs+S5-zPQ{?h_%U}|!uXUWbzZYdDhidYt8wMp8tX3+ zJ$`|dqyj51FSj#iPSf`xQlWC9@6UCVuJr!gh?uW1X5ZyDYI5j*Pbhg!@&5LjvvR+* zBH8$w3@+JKgG8&3Ge3l#VzLqa;{*R>g7DHA0B$n4tRfXM zgYd;UZAX15^Fvx2J`pq?VaqgWrF{nHg=OLyxu>)&AEu^EHwh_eeJXAdqKZyzg3Kxj zDukXi1D;>O0L2GlWOngRB+z9#I!-Kvpv+d?I}9ma3<6JBH)&BZ2>j{EkX^ov_7{Nt z6d|6TtvZoKV6b{!zss~y5s)k<+XQ~0RCvX@+FCGsCR~wQjT_NZpE~{zwzam!EhrAU zfG_o&i?)q&z2C_en+`wrHbKG|8AtrRT%lkWh z@>w7YEmpfO5o{HJ7ASVy#^T^iS~O%X%B9&)do(r!6bM-g=OctU##c<#vzqZU&R9`0 zxMl(GmPLvmoFgBVd(kwF0m42-t=+pp`wzqsNd$(X!Xl!5o(8Ub zD2k(jui~B}k_$?^;qwB&-OH4mMYBm@QaaEk7^gYT^Yb^~a31ykNXv*@irD1z42%a) zJBr@hAZ)w&(4BUTNYbUlPnjPFc`PPWY1Iafn*vpS8LeS8W(bIJD30S2F^9nDXaI}D zT*5|m0z*r3d~2d(nlj=1ahW6{50Q-BO*_LdPLwc7@U$=)VoXs$YAph44bJz`JRcKN z|57ZFhz1c)e=+#o0)T)QMU5u8Ch+_WCK;1lQ9B=Gh3dbA1Ozo2=K>HBXL{40a@I?b zs!g$R2sQ+i##7XPB<)G2V-{K>YzYY1Ai{2LW&j^wCC?zAVIj%mwRX1Ql9g58Niy?l zpdK=Rc}BFya5xh-j8UtotU`;5ci5&NeLjOQzueSlw;ebLx_k*IH=J1%lohp3e0-WM z&0oblXRM%ANjA}7_x{7YUvhicpqx2 zqPhKpZQtSplO>4C6k`NIi$*V3L`0ggB9YP*U1XB$5=BLP^DOccPPm-Km>7-MlL%}U z8ou+%m*yg`EKU;iPGHbq?30-sb#H+B_b0IE_;3fb0bv=oq0yjYE>+sl@W`OOKtgym z$p1df!Cg1)2kV9Ff@_u3th2mzYwQ{UgqMHteJiXW2(xtyw~7j@#~yyr`Q22=SDQCC z-5>A6AJ;XkcS~S-9U^D$96~@H=Ou&AkZ*VCBGDeg_$9@quKblEDMO^4sFZsqPEVOK z&WWm3NCT18DcbciJp@dgVDNSGdyu~oXijU0Y7^>z-XWAC<96ayycL#zoOFl^CWaR84lZ zQ%YkGAln4Wh^a7$`X`R-%e2zVTcT}x-%9+}SW@!v6p_3H1epYnN{$T1zor=Rij=Mjh&soa}WkRS(X> zLOW#U31}Q;x{!7%D2R?l%TFw45f8(%+Qh<^XjRo4S;)(Hzq(&^6RU@?Tv=ekM2F*T z-h9*Fi)hFln1t;w9kBw&-};Z-ZC84(u}}`%`Zd(1WR7WDd5+uhKKgN8V7(h{@;YJ| z0yEXg^Fvg3{K7fA_X}S@%}yXc>ylMeRIRA}7j2cQo;>^9GmdL&>(;d{RYR1zj$Kl= zJ%h&iA`}jW`$#5>OeL+Jnj{j6$SGa~;0DU8w_Ito$)#T)X>||BM){1QcZ&X0J72qL z#nW(Pi%Q59A6|RBuAxDB?AQ@2U$dT6naft5+l;c*?Ft%9&J^NI*6NPQM1_{kn=>sg zFwCT45=2{zhC)mca=r+@A4x6wUlc@;GdmCuz*TGmfm|kSh#Gn|wr%I_uWk05?9ubA zY&qR{VY`P->r zv=kiM*D zif zlbB=8oqgnuZFbQYzj%*O#T9zjzzYRg-9;~=7l^P@v?)qk!s_L;EWKDV{U7K9*gPM)1%@O2V8rc86`F4 z`lhzMLM*v&-1S{wT|e+u$F11EEO8_h;xJ2U*RtYIk*!P+v*|a~nlgOG^vw*{7#0IpIYd z=S*}=$smh_(}H5~BN^ieUV3Rrp#}U?t8>sel=1K^?%oX+l5DO8B!Hln-%kEbD3>u)MF->w7`+SapJV)s6KfHOgM`}m;UFOz1M9?OLKxidIVdB7cvG?t%meT{&r`5k@J7iK59zMe2vo z&vO%T3nVZ&8Q^ZfOY@%6qv;KfyS_Urapo{hMTw(L z6Z6%B^~NB-exf_}mB+pEJ9ohH4_$KsQ%{=&zqi;efBmMvbgXO8vUJotRswQO?SAV= z%S}%oL{Pv=pNL70uT2>VGQ(UE491yQSf{5c@_|O{M>~=>8(xBq6o`V}nff0E4)YP7 z_p2Sy@RVM!XZfZLdy8M5K6AmYcD!Kg*OH`8bT}@4*s_zy?f7UCTGm+$J#fPI?5MDN zKY9z0m0H>#ecvKmbV>6+F#Xr;Q+RaejUSOEaRg$&f@q*A-u*=EU! zOVr6LM(bculGi6JjHy+;a?31FSf_KCx%bq&hds7WXIW{uBfl{!&(IV^wIp6CZ(M7-= zZcjY^V|($X6IQgk#`0E`TOyF2^73*g z^(&7*Y>j{Zb({SCKe3EwAGPAqQ&y5zNK~WT20<-Pl^0>tCtDVkU#OT#O}!kVNoAIr zUTV88@**PUYg5#3EQS>tRmF#8=SEpld}FA=!CJj`|r2H>BCFeV=2X zMa_llgZt;)*SfBrTLlcnb)>TQO+>R+mEhRN{D_A5iT)AgbZuZ%-&pzZxgoqHkbD3J zUHF|oBDnnYNh44P z73t7oBNxKk`{&J-%&bB?e)J`)CNtxVN-PaOwWKrZ%rFioj36VK4Jp{hXGTfyvo#72wOZK#zUy>`D5G(r2LZ z<#W?{@2Bk@69;8Sr&xNU& zf;OTElD0P*Y%AO6hBJfujgEB}TK?f3BfL*#Wu=vum0MeT8;e7%i|DPq?#lCimd}Mn zh2Z1X67|Y*^en{)eQjPF-p)1k2GzDSqK;z2P}#M~8O*`31Pso1go3nL;l>2b(2McG z%o+o=vT_aOyAlq~eGg0`J|W$@dV&^6S`Q2*-=2E!F{<7}RnuWT zkT>=NbnUFaV41}wF53BKeWYiTph-$f0M(yEQQMbD=KN3&F*|2_-7dXZ|BXt{bNz=-?>d4CH&HPc)2SotH7_Yq5=5J z1jrco;18rH*u@|HgB6^7*y665jR6IjzV8c`FxX>RBOUhf1i+A^tv1c{x5iU-uMHjTSq&@9VBvYRAgW zYoqq+v%VJ@UO=?V{QY(|oh!$(Hq{24X4QV9&Fhbq-@o3zSAU;Dm1=D&MIS4aJ@@pU zVdb%J^|SZPS}f*f=YWrh(@MPZq(qULL#HAvCeXy>C&cvD0wLxI0yQ96-985f74$$_ z8L)#{e0kSMf_~t8b++f`JrFtOW0G&St7p%k5pA&a&e*tRWwvwh9{O)z;e4 zZ4H0@nC;r$Om(5H@UYc_DeHF;_J+Qy@l zYJ3FNgD-yO7r=Buh&DBB{=z=AD-u5Asb$wcVS{7w4u|AJNGOsf-;V~0_>DAvy zHPW*tqNV8iDYQjpwY<%HChzap`>kurw{!IPF?$)aWATOKcHc)nhJTGTnuV(f2x|Um z4)9#6CFpA9$wxxxMp-iDGogI|M-|p)FaLxiW3@x0a`}sxFskS*WcH;?otP%laN_Or zpZl^^R8+cVy`E6^{2Lt|?G!4#itr?j2&Z!abiE~|tK2iYllk%LNwspnuC2b3u$!8i zCXa8G_ci@Tzq&R@ui;Hb@s}%QCmvsm_PtqOdfyACAWnSwj@-WGYrkIGy!%{l$g4hh z_Y+R*ktbe2V;Qttc9LWrM)10UO$62<%XvBO3DibRK?Gb>Bj%~ZpT&QRq;QoL$6NQy zC+r8uN)UEt^GE>@Y>DeXQwPTyDF3H7Za?Gx@blc~C@fWOe%{p=+`|ZoW{411&i!KmeKmD{ezE|JB zC4t2oiw-dF1-ymUY5++n2>dsL(E8DLe_;M=P{IDjS1D_?#$DlJ-Fc#dqgJ+lgIhh; zu3Kjp&`PGh|G33{Xq(M(ei%n}EKrEcFQ2jl|LUvmJWV{ATEm!@*YI%&9O+LEfpn%m z;I(TM6F6llX~lp67eNO1TT|OjwrT4D!vEa}2?4vKX!bOGBjPC60M(0fRK@(I3N_M@ zR9-v}wRsEW=DimwAHeYN5XV9v0svCrOif^^;2LDon!3BYEhVqi?*GI_d*O z+qr2Nt|j0A(>+`Zx!LI)moQbG+*a*m;q6s@PM#qMlFB<& ze={KP3%aZc1c6&6fy59ma#EiA(*2{L} zr4$O3V6y9;TwC{*Z!D2w6;t^962Ul)kB#6*nt-7+g+NI{bW!AyR<>E~S_7!LrxPBv z!H3BGL(3u!$Olqh{!2ZF(#~pD7g-r@m2(#-?4ie4Aj4_4u6iAsZxPYPHjB?rwTi51 zOHT;c*;A*v@4V#|W?SF2Haj~%Ojbk=!isDC*^V{w5hRY4t!}ofAX$kf9bx<7_IWuUSdUWDCnsFuucpHb&3EAuaaU)Sk6(-Znb?`7Kyr4H4*3bj}Tz>a2=ICl?k@ zU02*&&QZ;Mb+4!pj|!FZIHU{kF;-SqIiaNj49AZhC47Dx+OM>QS8m=o8^B+=Z{Mvv zZ!|!nqrC4#Ej&lNB#bq&1Kc;AXmM1llyJIntaqMwZVrK(ti(l2Nti)^BQz;2qJ(!| z3g;=HA(N2LXt9w{K^W&--?w|50H`4q zMncNn+x?`{H;cjKz<_mtt-99M;WS#+B-l%#B)GcjaM7wat}&}Ef7 zx7$vrpLgykhFtO@b1hThK5G$Ox;6NW`Ub3taJ>r z|EYA`J&+3X<3&w{$Y@UxXwq20Zg^hYq@Q8clvh!o2P9OAq4Pt7CAd zfuvVj2!d`V#NtqZlfD5#Lp#`)%F3^*)R)kh&YU_A_>qavJwkvhlQ4 zXj-xz-HIlvayF+CkkSm*o;D_ROw2LR^aU>II2KJdiQvf?rQS8Ugbo*)egR=Bjacte z6>KUHjJ#|r8TC+Mn-ndCm+mPVVr_Xm&tfpu+B@6OgnBuDn)d)Z2fiwG-@-f^X#za` zz8Rj6lCEe=(rBEfL_3$}yIFiV5Z~g`5(Ae+MopMA=X9>KxR;;W=)E{@fZ?xNYs02vxBBKNY1_oASS zax>8kS@2{U$_KZI01txT&&`UpPu){NZOwD`+)L0gFZgUVee~&%Wl|s`p6m74{P@F` z48AYDQj5ADRQ&+YEx#O#5&^0RpA}qqzi#hJYK~sEOo%h5&oeQ4h;U5WAN+6k^I8^& z;LYJ<8buQb*m@Ao`J@S4dEr^04KWYaX1i3&$_m25RN4SzAGe zFIom6;Hlv!Z08orr7HoIh>ntLeKrL-T9d`)eaqGQ(;%LiU9jUn`BR%7JZsxN`d=8} zrEVauT-o%ZqCyK81_DyP9+{l-U5uhpt*&0Y<8_fAs{IvdSKC~l>k@V!=jmT1TRfa#<>!wk^hcOLqv!w7k8 z^M22eG9xWcnuz*Rl1)NUW_1b+R}1~Fe8Wu}H#%W0@;RBcYST)s%X@gGda1VG?YH0I zcAdh^RgP-S`dW9s7LsZFZK6^+hlwgQh9rd-ITMO6-y!5j$IS9T%Cpz<9y!DgRK3t*?ny)ldDTs8a z%?L@C&>jW2iW*46N`6QQGHFMuj1)(T&&UW_7860sFDOOmsydT^$*LrTP?8kag2jk% zkx)3de1T%1fjP^gRB!Z+$E(-p@5S$TRKr^NKpPQ88+eH-iPYHCX3rdc$v%1)`$)C9 z+WbR!%-6fzwC3|?tO%c02@XMR`wkqi6K5OH$YboTTMt109kE9qI&7!@;u$;n#GhCa zCQ1hx?AS4^j*5Ck2mQoIkM)h;Z+G9~P3Rcw?r339#92|wDm(Su4{g;i|JKSTUjOU~ zAvvRSF(GCc=+2jdk4+|zwy61W{gms1OL>wC`~Uru$I)nEI(8d*A0-gtD!UoBcDtm zZQj85+AXp=KhZ3|Z3CYJkd{!l8-9fD6XFYK1p`$K0dB+3qCGL!I0mg14M09J71dw{ zGj4H|>tqhsamWqQNT~d>Dj$tF9c@J>qyiVf1keCfJtv;xq<*g7)b;>11ov51Tw(N9 z{8Sq?VU(b|GD-LP0m~n1fxn2NSbeE~uio6GTi&T_=A)f-w6!@9XC8~5w7X&iM}YSw zUVQx%TL*Zahe2gwME*OH-@tD=jq=YZEEMUPsLJd?1wC#lsJ>=#+dLi z7L@(4D3?SkCO#$7q-iRNJS{Z_b_g_U-kJUug9P0~-=irbtlSGlLsK!}u(UdW%swKc zQL;ccT3mD9Z=&m65m??JRYyq(2ne@pTRwv+8j)F5R&C$>)^qmABcR-gcHK|e^kQCU z)0IZM($<5+d^;2vN#=*MYJ8}bNu6kS?p+O$S_ic2Bup0%V&*YPoDWGRNdVK5N+!EM z%>+a3MbnW<*4cd8ic?16St_-_m8Ze?d=$2Z)qE#yNiXrn=4k@wE}pkO{62ZvtUgR& zIrh^Ta0La_9$fap$Q%^QglK5=Ik%w5F3lkrOUfM|_!t8sB;OU^&rQQ@%Co5eYb=vG z)<0qCIB>HINs;KUu%*cb%(hq?CmPgt`iiAPF%!%9=^?_pzqZ;oBlN`pO+d20x}aKj zX=pIoJVE6RE?s{H9;|fyFyglgBTU?J9KZq{{p<#B^fOmr=t>alOAQGDPNF8B7Gw1c za|CV7tiLm0)8i}*Xi}5Ph>peC93+A%;Oaojapo&GZ#=wm zuO?H};ojNV0kv}*CRfxc?meGooHv!O@8cpmPny>@CUz^DSv%B3#l3;fK z8r;Oxl+ECijLSrG8XR;2E1HLS!yHP+w^~|u(9WE1VzGdLaVFiitd0sc=Oew|n&^|L z-^HIM^SqBBX2YM`)G$fFTj5+1n3IK~Hr;cP!P;89-nC@|9pHYn%3-?0HuNmY&ReK~l-XOQWzeK&4Bva)>&5<)t&ch(tSn ze%8_nGk^PUpCFPogzdkGF9^z%G^#37H1o{a2kf3ZCn?y_W&_AWA@ThIuv05aU18L;BaJgaZMWX~NxVqg307l;zAM-YWfTtwpS(k7or zOW&yTTZr*ULexhnU(V?`Ce;!XMxa<}AaY`-kvyC%`W6OubwP|J0o{m2GNdA<;{6Qr zIL>1w4Rk>3LNxL086tWlMXO}g{U5*2-ShenuB}NeC+;H7WUZ?5pJ`)3cFz~Bx2PfR z4vh*TcSQ6DalGZf+=zCAS`j|+zV~*^d|R^e^=4B+G7P5BxG)QS@TV(;pA-v+77V9; z`FCK`n42S^8zKFAn>QZ3@4SgAGqJm~6Fk!%CtTETdM0f;Cfe@k-`=_2cM2qI0DJUS zwpy4VPQU!J#o`Ydg_ZLFm7X*38|fZun|{lmwwdU1-$0FXzOSpzb!MpqlRJhRE%%c9 z?Jasm#idT*N?=JN=TffS@nFj$_(4^oOc7RZdv#xU`}I5eT?B2hz|Nf52)pVj7)-NS zghe{I94O%BiZD?^M#PaJ-jRX?GT)t#mN5{5+Q=1J!7TKIZIo43H8J&mi+Bd$qm;dK z99+=e22HL#H<(cvux!88B#p_JVVX(mKn?Hwm>~VXooWxFrlvho3w`ep{(7=tGc0Kc>{6?KT!-sa5dSe z@SHO}5w=43ufOt{FS??cxC`^lH{!0`rrBGs&1Xj}}|C6N1aa!XGM zV!8$y!C?l8)b}YI*~1*v!RkaY_l!<=*G%_AvdkNhBFb|MF8f|7x;c~y99%*WF2q<- zwL6K0T{I~?o377FEPxC<~!1aaQKooyCeocOOiypj_j%NJProDMR; ze(m%2yXT{M-sbK1{?>2p(>3KAOhwaDFyq{nc02LnOLp5`cV5?;SDss#A2lVt>v*P| zo?qMb-^(AwPTJPe1jcFD3Ahx8<)fWAz+>?@4U;=tjLX9lj?t^U@lQ845K86MciKfj z(FYG`F_myas1g9%HU4qif6pc&B1|B(m=MQYJ@XUWQYOC;0u$6QUK(3nw%S_GJa5INgq$(6sfUZJoW>fDL33Im@zBb)c7vi)`DLopu2ZFRvC|@3m`o$DMb1j|CM@uXRlat-K_j z=o6C_K_yY8=he&CY8K!R4OR_=8C*qzGH4)3P{_1m=-D`@%8gWpF9O`3^^Z+jU}nPc zn(Jm>^xn(AUXzlMoo1!Th5UBJq>Lqdgja!V*dIT0-rjDQVC$0y@GNx!OeHjE+@>T_wHxcr188o*vTRj@23QMGu z-QZ1ZVdLh|ECgjyL{!hSr6+DQ zMt7i3y!Z8rFW!Ye-ZO!vq2TyC^N@}lY+8!Tb+hcJHb zwMCqcjt_~|KMsFO(~0j`B(2n{2(>HH(#h(lk-8p?SI^kA<*Y7qn$S&~cUTF<1coOj z3GGwIE^V`WJ+b&J>WMV1B7aYz=(^TUi_Iv|TXp{=5H#=!KuZy%T}0g&5pMP43uJ>6 zxK3DcFmNlIXOM^sECI|;JgagaT<0-Nlxm`;r%s)67_eFb6ZuSTZ}8~1_gO_d{jm+S z53>p%mYnv;Fzaf8R(O&<2tfIvki%B8Iu0PA&j~NBxejSh2aLm(fGtODL4qIrtrV&VTBi>RqvRx*Q8E7=V3+&WtR*Ff zw>`tyJbmO@n@`BF#`ZC*t!A7dI8-q@m0}v+Gkd>XqhIHTGs|gn<(gYb!FkNKZz4;B zygxsyjvVhX)F?o0C$kITByE@Ai7QbjZ3*+vPc@*n_C9=&%KRQjrkOP;etbO1g&#+Xry0 zi%iW=C|QC}19p0%m*NB;vi(Gu=0;V1onu)+8;(C}YtrBXCo3HX8ZVd7cx=deCUIWI z;d7ZCuuYrqzuvHCpMAz2r!HMW#d^l4)N9R3_}S6yCIPtS@Zv;!qCvJ}`z}cTy8%NU z0|dK=h~=WCQ$09}!2!?3q=;MAsx-B=+DS@@NmRLfJdP{oplFuV7YIFxyy3jXXOu)1 z?KI`LW*~S=1b4G_%S|>lGY2kf0_Spz6IfoOHF&%MG(J7ZxpK%#;>aN#1F|`2kN*`w zLh=qHEDE_Lex4;_r=Fj&l8S&GyoYFI0Ro77yX$bO&X$7K-$nl2B>O4Gp^{>YGlb#? z(eeuLmCeDr34QPEbja3hinaV=gq0Qph1&6(a0)QWuk5fB^yhetd+aHT& z%!}q~BrLPA%v`Y&jkb}UIS*Jv9=g-2Gpo&2%FfuW|Xl<>a+K)eG z1Ia)i3Q8@Pl6bMTzsS;$<-svi(>{Ct+uyX}zBzoTu{KAgpRsTM(3-#gd$#G*U$B;z zR<0Wc<8m`zX{8v*XuKF2Zs@R(VgTTmOzwLtTUz-M5 zDyvX6lS`PP1x$zjP?D7bR17-CNRDB|OL#G|b%xCj_t|JG*2M+^R1VOJ-s$lOTesFCS4RT_IW=yLW%Ie1nJ~Dm{d|QdT zmL{B3d}(&`n5sA3A8*_CF<`YK)BXtr(en>4*pL2l*6#aiA}Jtft2%*=uerX@8qS67 ziA1eimfRqjR2)!+l=1~mJ@uQ~B-qFZz}$k}+pN62oTgDj5ZdBigjixS zeoh3q!lNoS;^Utd%m^B*o?sTg-ZYDev>$26GE=i4TI}H*&@%uuebzZN?!KR+Fp0Fy zYb9Alfe-D_7Gj@s5u_z6ir3y=-r zXTcUVj#9j0uvp>}0UVS5qs1vccF?YL)Y$y#Ix9K*k7K$U|&FM&idxp?U85i zG+!Y?tLcmtfCUOT<^ zz7xOS7Xr&0Na0W~vx|CpyjT^$ln_%f2CZOzv2QX;Vu*YMdHM1ohL$LP$J zjv3d-TeoksE989@uixU-?Rk*IbASL_nwzYx{=DTefX7fVBv^zIdf~+vtpwhy-o62Z zBibPMR@5dw8Kwc{8-lfp6}LAl_QjW;vO>(Ij2!$X05vyNu0t@**!M1UTSj3i3ZPKT zP$J_OK@{6Og816ti0vWxAjGw{0XV2img!bB>H6y~5~iOd^o|o5pUKEXlVwssLDih~ zL=u-loUmbljzy(RDoi`oHQ7iUAZ`A#KI!_4VM5#(~!yf^w*gBY)mEled?Gx=-T0B>i3VW8`= zSP&_tCcIcb1FZC-6pqM0#2J3HSz*51`=PI5xlSL>>oW-MLY{Y06A*zI0-f&0fbNB9 z77OikdU~OqNn2~PiM3YO2%0=^0aw6j1V_lW?%ZuT2)~tHFK?m=L7$P6YsHvDKHLl1 zax+tGX^8O`$v^{Uabs}kUC*NJ>c0RQt1N?ApaTmCF!fUk)r1m&(9F`mCzdiXAG8Bdft!(#Biy6n2^20y3ri$%0 zOuGvZMi0@i`@v{!Kl$H`TzuGSpw!7*ZFA2*U~#xLhJJ9^5+45Xb-(EdStz3;q;}C? z+Ppqo*}ixB^ZP(x>E*KmL-7$vpoBYGmg)&gy9zTH!jWMnfocmfIMH+h@p3BS({U}= z_YopW=|t<=5JDfebu}Aog6Q5-dM148kd@UvV5{@?aE`PqUJ5EZ-g}~ooI5*dBMY@Q zOA1a;JILx;{9riUyZca;a|)a=5=1}PI#jy5}gvB6$E z*>3~ahM1%?Hpsx4Ss>xqj|K>Za|Vu0p{`3xGVIpP>#e_ zCUFE$VlvKkz#G5lXO#>QAW*$N+%IYLRg%xf1_J~cq$Pm0q4Rx6iSn9w8|hrK(LwpM zq%R<_=;N6=w1^R1!)G-+$ZM1a(GkY!3n5;1RLhGFT;2}?WESDZnK-BEZ?JJWDH-_6 za;%`d3Zc!SgE=0=tW|22pL7)of+awn!pgChAjD}X+al(7ki64Xg-EDriagLi^FUj0 zEtwx_5XRr+lRpwTOU%So!x>B*g=;7#iuqoE zKTIg{d7^{Tru4fJPV*qeZEdj6s?gF@JauV$lrdRhKD1^%5%LMagr|o^2A!5Y{FEk% z0MV)t%a;>GM-qUgDA3VIc0e4OPh4i9rGb6QuBgSY7qKqbVdojMGP$O3TZk^Hdi6RI zm^1A82Y%Zsc76pY#V!Xdb{+AmAD)odf6Kjg{J9I34=afZun1els3u*j0zcG{bq;T{ z-3N;8%43h&{2=e+vA?xzS!=WsSpgdRYU&3p5b5n>!I{b2L{yuF11&9v#Y4q|m(Cux z$$$Uv?AAM?0VQF&>c||~$k>m^I(e_Q_wLT_iCM3tDrw-sX&zqcVXr<5IW5gfD+kPn zgv+EY$ePd!69>nT>h!5D-y$g|z4lWU4$;X`QwJ&|;tM=>*^_ZLps^osIA8#lkII~M|K>N^$W{?VgTg%^Tt8)M< zUO?+uLKp;zI35MoQEEjXt``QUtf%oR&x%=6SVX{K-d1H7T17>nW8kc7>ITRd%YfeI za^e)V3Ndl%7I>GEU}B{fpC(vbFB%0rU{rDG??5mlq6y6Tt)NOya%g#md}v_OP&MsH z9*&J+rS@BY3j%6FsCso00u9Y#a*A{2p$$$CS#K-a2I|)s=P%?EZ35<@)jhS<^dX(W>Oq7?*j4TM!2k!mzEB>v74ftxL@v6-tdq2e_E@SUqkpGmR`IQ|>A z>>zkDW!+Dou>bn4A6wzZo80&*D9myGDt`jW$Q_q#)!ld6Sa+uxewvXn%FcJS*dPKn zEw2Q@6-9|li;qEyJ8T%wp?9liRv(TdXT##nVmQy@h?LefgLx$(J>J!17cnsvl$jw> z{5aKW(iu~Ib#=CR_e~C7v3|#0Hqm&&mb$)Wk2M{(^}FwPu$3jwQ{U)ai=n||2ub5z zk4;tc4q6xs;`S#G6Sjr<>8nILcLNF9W`jqcw>$przqRN7@=vYp`!8Dkp#yd^rQ_Q0 zqXMw7ZIK1ruUtd~R|r;<*_WXf7lTo$S?}uob_i2Y#cH)(X=x^Xk_C8v$^mcPPED3~ zd3%oqmL6Cd6RJKAK&1&pz)`7uS2);#&>}ZbktU&Y@rRgCgIihjQAD{0k8;*pTidOm z?5p^bh#InD#-g1B87Q46eq>vUw&KWE7Ct5sE1S&9u$FT24hQ`owu8I&St4l>T-|LG z6X3wm&Pw^`P|Ca%X)%Hdq_8sOQGB5B8S?)2?6u=hJYn73>z+^UhB2Yl4zr?8OlR3- zd$$!rO21(%f|b(FrRCX{&)++?R6;>=&OUTohE-Pz)ETzZ=i2Oe9TCBd5{qSE&f}|F zU0DvyBLiBIW&~s^5lOC9yAG|6`g;MY{8m(fB1eN_g^#0sqX^nsux7$92?3v+-o<1r zLmMM0w+}oQ2hPp}@jEFkBfy{!5g1VTUA;oL62A5)21%Bk!z@KBOO;=Y3CZXP3=1_s zZ)?kN_-@7tjg~;5pPG(7XL=y#c^_Pd3ptaW4{`9K_YPgM>ZE@MvVYofS_xgQ=amUN ziok#5kq1Gh@8UjCNfUidhLJ+rmXeg!@A2g5X>v|a%-Yw!_Mg${Aale&X+^(|##(Aw z^hy6nm#yEp-HHoxECDm|&Z5HvB4%I_9ku4mq~@&JYOQmLc4Z;~&AQlTA*$=A6nzf9 zK-GpUE&|U-74YKRT?qS3OU*B^wKX-A7h7jtBeT{@+ka?HXGE3Ui?(=*FDdh6}e2e#Xp7oM{- zXj%&5RKNgw1u=LYAbCfBDc_JU;i{U5%J9|%)tP_ zN;JWsom~WvwLrXx9LTl?FkLA5i62b|r z4`0d`royVYn2`K8gp|IE4&bPdXO`@MbO4vxqz`pqZr1?AaCw-t`*PzY+q7k;W7Tvo z?M;3J@d+iR<$+_$MPP?*7^umXqB15B&g{ih%b-5vDg;em?@_z!9yHTz#t>;w-MvkA z;$*~n`XW|JSiY}k1YBLLt*63LL17yHLBU6463-ElL{p(8TL1wy(%x>_tE;UvKhv%O zGL8&hCD;Ql3&x575SB%%JxybV=2X?fBnl-r&lAHxMX*`f@U`OSOC~sygLaL7EgrMi zhnYBoa9co-W#<=DLsIEwnO0p?YI*C)J-m2{oZ2l`zVRd0d+{4&R1`oDU1OCwm#prY z9$VP=MTh~mUawH@b=T)VUt$k@x7#YKr(v=H{E35o2@R^LXAEDU-!_nTbm?!uX``S* zrkOJ`f!omha!>=SSbSMN7y~RkKrX`YW3f8gCNd1X?bwl*?5St#ZFs2Le)Tthg*BdX za?FJ&dOzx`^p1VEe!u4eOD3Tz+k_S|g{X2Pdpr`xe?WSN42>{U!9K$2(yAn^q;rc_ zM1HL>c%Xs)LDc`xTMYiCF(zpLrSq0W#HF$BxRnz5P}zB1h{c_b#z~BZHZpR_UO3Zh z_x|Bt*Uz4?T9}`;_VF1ih?Kk32UTy8Rv)a4 z!gWzJKSQx58?RU@{lpbKHZAjf2g zWn%c_2I&)gTJcnPAsuIC;y4cbJkBY$RUiHh%DGn%9Ye@58A8*ucJ{#s+-k0enOzv? z8lni1xlN8DYXN`SnIq)QB`;Y;t<6rbegVJrB=G|0+VP z=ao<^u2^FuU9GkTF15M%bn8LO%%uAAP-mBA5W&7&uZrgYr8`1akPSi}&AqUwiYVv2 zwVeHiJ@`Lj?A|Z^0hCO8-I!FrsVevWTYk~b*8PPY+>dF_q8FefoXV8#*moC*`Zf!H z=RaE0##_jX9=CMBzApfKz*T9Vg?BoB}AzQz0?JGLImDP2cwmkdzLln!v#NvJd9Q}EvWtepEL=hngBP7Io zE&iqi@)n;va*_$$X?wSnp;}{#E)iN*jwJpD`Q04t2SZuWC`EFX(7r^WtAK(AavZ)V zztnRyGxfs~&mu5#}@43gLC$U|Cq!xN3|=OLi<#%p7Rmd ziR54+KWHO)ZB-E)?xoEvHraWaoKc}Axy2jhpRieZ@SjCl7PfES$um)f8FO98a1|HT zruQnX_VqSzuNI9DA4Jf6bOsX+Lc=(mZ;ToFIaP4hhyN=a?&UYWmKZH~IFA`Wd1zg~ z^S`8E%8!zJm+qJ$P>`H(4N=ch7^b!5Lw34h7(u}zf%&GYUOv)Xv=tN-S=^}(i%BMG z*4bk5DFl9ahTQ7S1W3m1pML!p>{tI06STU6;w$VE^@$r{Nr}}?SJ#H zU1osBlZOk_>F?&|jf;2lo-6JZeW{8N#-+fI65I0aP*O?i8%39F~rZ@bf9sI3_ z?aTlAH>oaM%W4!IWSTTu39k+gBQQdCP2G=9`MBD#PCS?1B_djZE6_{~sspk%u4W`L&)Sa0HMA@*xMXqF)npgG@%8 zuv+1{o-^Zc0#AI*O4cjN!|wA=H4 z`ny7rA`E3HLq9PL1Uuu%tgOueKGe_wMH4^7vHqfD)m&ovhK9ytg z^Sjwia6h`Mc*L|Gj5+G5B*ZrI-B>6o(-P2I&39k5WjxQ9u%IekTw&Z*V6g{WFR4%6 z^Z0i7=zWO5HEarIS1xzqUh*ie87WqV!|KH69RMu5h?-n#epj|GMR*BCEsdKpF&ZXN`UiCi=eM){^9Rh zF)aPW(6gAA>nyzUU)!Ef{V#R^1-roZwWziZxCXut?(8NU)Np^F?KyP9EiNUX&^1H- z-ByQ^cNyYPz|P}Y6FtaeDd|Us!OTwR$EOL0(N(E5;W1>{#2_HTB5^QGJdAc|rJ85H zK$H-(*mX5|915U1_coM#q@(&ITfyY$yFxReIMQNVnszz=$*#h?} zWP%Bx?sD_r!;#@s6A`Dt;GRx@KGqJM z7CycDg}ONzk7>;NKySo)o0e^S5Wm7VSMAN0aQ$Fb=NID|0a^*VaN5M9DDE=q9|iX| zgtv4<`R82jNKNvs#7Uop+96AzVh)`iNQ-?-@fV{ovr^Nmt)${OkU=1HjJ^o>14yH| zP<5o(O6G|S0WRE06vK_MhzOe+&+%gH{KFD$aZ8Zu0b@@%j%?iI_Y?45cl#JIf#90M z!KC6+A{?oXB{=3;Fzo;8cPy>4!7_`=Y4@nLz4RBBQc`avb-Q_->h8Tnyi_ko1URbA zXKAb#t~b+?^Gcb!SflCaAs}szR2R7LqS^id=DbK82D*wGoK}IT8GRamKICfpGDs*; zSQ5rsmvv8*AqUqT8pZhV9NuGbGnkoAAyd>VK#!N%%WtfZ8Rr$+f({gseXZ>*y1tNA zVwfmFESzJ0r9hn6E9pJem)f(5Mo^WEp82#L`h{Ntd=YH;x;i2m=78(zvHkn^xi#}{ z95GeDrTAlh%fS!f%f?ju5bpHfcnjaHK}khd7thm!U|RukDGnuoKNFsT+!^*C*cs*J zlc%xf>DpSzI$JWamStFaS((k@zm$l-Pe(_S1t-34LvcTDvB#dWLzuB;WpRbU^(=)1 zBs17s%OJbvI-;X?+WQ{)Bxt4A?Ufh4Z$%{)mcSqf`8@`xXYGz}UAjo!a2mzyyr;Xv zLRg$;7ZC%Abwmq9ou#u9;hl57M$&H>M25#>4MlgQ8Ny-;OEeTG#8txDl2fd=xU^lP zZ&jZ0OK;ne4=ehIQ^y;SKClVvAy!Np@YlBo60mS*4v0;lx9~NQq#zCZ~nb&>HHNo5@ZvYGW?JZxv-`b!2 zs@3FWFwYU~@#Yu4RaR2AUBC3Cjh=cCFhYKVn+!0If{4hqh=8xZSkCi@?0Q>}geO6J znB*z>3?NuJ?HJz=#y5ESZD?4y4JOb=sQ`NRa+s&j<6vW|A$|-OgKLowj?3+ z^mN(`zI7_MuNl9-ALaYD6D)5xl1MhnWa^|7;ZV>A1fuY|Me)AAHp#>S_#fqP1aUyh zUvU^c!?5_1xmH+DIwd-P8V)H2vF+>+U$go{e`fV49rMs*sQ8OTi^10|_?@g{a$4*~ z50*#bhzCFMY36g-iV-hA`-QKtd&OBIglBzp%yJGNLJu}&-}&AT*>%DMxTXLGn1qtH z7A5axZVA&3H@J%4K?GJHYfe!_{S@~o-H|f+MzMoyaY`w#4*|qKW&_`~^P{|FQAI#1 z6~u=;$pauDtS)sEndgd|~ zL0gZtHZ{>t-wOYJ!-at7>51xh*LPwO-4XiI5it>v4K4y5j*`_f3e*`G93Y9Z zlWth^^*5}c3Qi7?js<+YQj+6|<-}^ujT2{4?&p$W3ev8jXX9=+z zALzv;C5!t?m7(@|kC&duBVp(-*;H2%uO{2C;(Io1xz+m-v(r(qcRPZ$(KVZI3T$sF z{Y6_G{jU}c(&;+r5T4#K+KS_^+mF8YEx1<*W?PGM7~XsD132`8*H-o@V*c;hy@w+9 z=ih@%gfOlUgL{8927_w@;H!C%j+*n@Y*v6$9>h?EmzkxZA02;upH-wWuc*6-RaZwV z`mR)*ZCQuRO=soZtmS)uxYNe--dDW8U$>oLX>w~PjEUb5H&TTNbdv@DBJX_=Ec6lL zTHIn*tQ?X)oQNFgdIm~K3Yl&hRW;6Ac^*Y*+1>_n^L@oZEvxyS*r!P({^Fg*UmOGA zfsc{ba1Z_`;+m8kB82s)w7kli!O_~4n~78B2##|JSRb$2Pk;HJ*dP7bcPzIc5Ah_` zu3r3+?R{Vp;tF@gU`x>+&0*$oIb_bsM>jErb7DQ8kK)2{ztMK`9iasL*dRb|_3JF2 zFR$%{8%O%X=&7y^;*BUxKo$v6npanfQ`3&*R zB=8x8WQeO;Lwj^RSL@jlh+=UUA4A8JN}S3(-v6tJ#7l5h%Xs0-O<;`h9Emym%Jy5! zi(j_yP5h4C|HzNi?}#Q;#P!?8duL|{t`IkP7FO1fxGfPN`q9-{B$eJ}Gn3^w2Dbto z55w7Lt~mdwbt&SxP8)|17F;Xo2e`@#=1*A#q)p6VM5(fFqI>%k2_u>@ks^k{X{BW6 zL)cfWXx9Pjy?oXw^5ar-*%HEt%UFnOceyr;R=RtO@@wPapNO8&P=$XlP9~+O)<%0; zEVE*dT|eJ$t zJ3>h=D3iDjEa0Oeq=iIe`?8`!J9@C(u3h*R*jh1m-{A=>E6L(~g`8;er=VlOCuUiC zIVsYabSxGz-z+8CCj}=&0ufa0(nJp;Hh2Vis7>Nhpon-5mCn{RZmDvO+N&ecp(yJlZCf1fCPDh_ z`q@_zeR4o}C}>ssk^J+M*Eg*1^1K{lqkUB7a{W)X!%8bdQv7Ds$tJnDvcbWfFsj@y;L z{XNU5IZ7KUY@A%15)0!}G8}OdkyqWNXO+*AIONHZ0sDu4|I2p&FZ^2~9S}G1qR(Ky z^}z95e(nXUDXp|+&J)c{CLd)SR_OhQ&X9cdRZGR#5?PF~xJ5)+#$67y#nG2-hP|;Y zJ=Kc#>?NV=IfBkhn0EoJ+YeYbbK&^WG=ihE8Sim6vT^&pH}_klrP(Uix-A}VeJQrc z+KB{6!a%cvPgnLijqJ84%#|Nb+evsBD_S}o1Hqzq7O`?bf zS}EzJ_Yn$$gRn&!blHB?ZW$d|@XjACiu7EV-{VNj)i*E=7qpjMTCMPAi~ff3d``s6 zd+#ToV6q3SIkwlvBEQePz6Y`{k%*DK67=@Ye>=X0+R9_`~J>OuO7-_;rV zRrl6Qy`!B!3Nc&+R>Y3xv#Ibai&E_oL39j-uJI1@9g9>{FTv3d;%`+$v?;@>G8j(-u4nI?_LIN^{nf&Y&)d_3(^k}Q+WId&Z}pG- zgcTorlxT*_U~<)(GJQ=?j1ezLo~DT*o9IV$hmcL9I#`_=v0Z0Aul#o*P;Y&_G5`k;Rl=AmP)w%(pg1X35$Pq^g}OPUauZBM0V*@24B**cp@_Q5(U zXD&3p`m9Y%=AN99eR-T-v_o@9EST_!QX!YZJ4+*j5$L5c)e z0P&zs?8>=hyLSNu2TKzOV0}VF6w1JPu48q)(q){1V;UV9zMa>bf3$%nG{;P)dUNqrzY zD=hdGyz@gmYZWnLdJL~?009ABB^)M%7dt*x{jn&L3Dsde^H4{C3Qa^&TFLaJY>($I-4I z1%ad6o`3#1+#%9z1a2yU{t;}@Qg0`HiinG;G_APKDigBpoB!lLfx-28^iepgPL41x z^Y(*(`KT@RffD=3V^(?k347~nzm795p)R}%_dRa&eN8q2ca;RUHikYcRMucuJFnZx z6RxS7e+CEoiNu&A*!+H5_`&a5UFrSSGJzWkCcphfVbEreVbT1$wX}Q!sBF^C?cQTO z5V&2(?{W7ydi)_Efv%vgP#bZfiCM6QT~qe$m(bG@@)Rs?um?}>vysN9?X&*^cOCk_ zf8-RtV6k?kxgY48WLuw~BE?;o{pzp%vRhL=$Gcg{ZTfHv!TMTTWsYi z#0COSd5#cJqd)+SA`6N_IVSM|+mkSF`}Xc}SWW#yuUS#)Ebz-7dZ>^e3X1YAI67`S z_wKfRU=xkwLw2R(`}kL(-)jq4#ZD>%CnO@Y1QbkK2F|vmN<+eNVqJVvfN&qPu13@V zEMCzEiGa?b6oR?RPQFMu(GsvE+4jyn^EMik6o`5al1z|&%B^{SXs-#YU$nnew z(F7BtrFISveZdIVR91Ve7-x!eNtShNDSO|VXlB&g=cYhP#QE) z9cY4(OnU};?ZzZI3isn#PORIxA3SXd-~7KVRI~#l%)BM!zzv`zUm6294ACx-T1yNd zeTvmJm|Jcc-+#ldeEUh8h?%y@`UmX5kNpCAE1+n|jJ>c(-{j$FnhmjB!4VaXOWAjv z_(P}U=jGdVINU*?yp`y68ogX$^={kyv%g{OXP>n_4?SVy?UyaRu)-=%e9>x8K90D} z#>X5hJoW{<^o{>$yB{DP5N>R2bke#nJa3izj}w#FXL0EDhR6Hu@>>@j_LST^wBZh; zFV%*WTTo_~0!P>oRxK+IL&;pwn#SQe(TT3o?q|RI4Vyjl7;rsP*7oK(iwG5zn9Wd# zp!1nr)Ehv}5F9RD>V5ZSKycfwu^o$VMx_n6{J?681~4vVvi{InHIy-Kbv7~{#GciBdQ<#9Vvf?x?TK~_5861tiDIEt!QM8x1yl-NK!rK~#L zEiYL?%^z4zTB!|9C1c#iN+ukro!-vvcKnSV6*-heP(ioy*LUJZ> zCLJ9lWjhl*Eeir6@sG|I;g!xxO=`IgssszWpaSPzTp-Y9+DNUAtN?_}>fY=bx7 zvfU`&OA^y<0nTh0^+9V}yB#`o)FH_(Edf!(c+@lA3pg#ml2}hayal3Zs_o50eS%IY zihd6!&Daer>lNi7Qy?KkjZeWX4_G=}tdi_b&ywI3Hd+DEYe)cT=?V5ve=5t}b7B51 zj;#ZNNVpdXb)#YmfYa$hdWa>F@j+f$I7NGGpVrjY&E9p+HvZX0g5|fG#f1BUEP#q3 zWO*^~wfF@wqZmRQe;{6wr7>&mD6m71Hdx>J*R0_58D}AjChQ?*i)G2btB9UDJCB8& zj>3Fs5Ts9ZP_d*RPtS-W@OH0D%Px_|qmbT}`YJ9!gEVyQ8o_wrSQDMzia3}6tc^;4 zANcY~xlW(82$$p*A;+aN5|I>lmR(YAZ%iaw%QLN30F;anLM0+~OjX+&ISAo*oPJ1R z>MDeX`Rp9|q-RN5BJFtQZucyCIg7xmcuILk&RGCqTnm~p%f~thkWoa#@yRX%YDpph z12otZO}wKvdJsyP_zNJlt|B@Mp&^Xb4T3;5n`kz%>Rhel7T6V9)D0W!(B;i)P+j>9!(It<$uSZhu<%v<$uE&rI=@x? zi%>uDa71Fnt`G@Aq9J4vh_Jx?!rU|r*VEe30$Kx*ImD07(ZKoCDvQHiAb@crDn$Xg zIck7~r`m1y+_a6>ar?*qXUqJp)3zU!fr7$9i-+s+%ht_J$MoGx>>5EAI*C}A?&g7< zGYj#*Te>-8c{O{n@PV6#Se}trY{x$JMfzmTt}zF~=;eg_CZH={2!FV!c$8byac4(06;+_u_i0g*a=rG@ul zra#0=8e+#AXjLF{6O%VFJ&YGS>9tU*_cAfF&;#_c8zyBiQQxKbovv_@Qj$dI^^W4L z|INets!6Jm8*6Q~vU^Xt-@1Ey?D#Qn*BKroI6EFWACAdI>NB`HUxO{ZlUu|5NysY! zATXcZ8Icwul9)!=MV?ZdOALb=V44?cmh+$dVw47I35!!n3JJ`TVV5XQG*NvhYLBZf z@5^(9pNO!I&A{=!h1Wk~C(7w)!jW=vKr~H9w59tdrxplq#*fQ!Y98Sekr8o`?8~cZI5b%bVLTD*JOaN= zKw=^UNM#ZQwPNOkIW^0IjRF!VB0~vnk4B#r3M^R;*2E0N8?{}WP8`@*9UW~b<~=TR zd}Q3FzuIQ8Wqe05ygt!r)0Y=*66R|Dz5roBp1+^8%wKxk8V(-8>9-iYps1l&d?Mxg zQI)?#DZ%*C*W2$AEZU<|m-iT5u;0ZzgZL*9@H`+%&W6s(X8w|-~35k;)P>#0&fCt>O!PQboo65(HSgB6iPO#kI;y@^c zbX0U9`kWDZN~S10yzx=e?*a5zonTDW>^NmpU2Rsl|A6X_PG#82k9tJp-8xst{fVmi zw{@Q0=^FW|C?sXF6{kF6r!pXn?QLz&kyI(6G@bfJ$eNQ;fM_KS(BrE3>+Y@lD;a=X zM5GG~ajyg%5w$#G_`D3;;w=MlRjQD8o!TR@Y7v-|G~6{D(d5`7B9c7K{i17iuX!eT zGNMiWLyx$h;)jL^oB0dwk>Fy_WF3kPp^KyMrl%m7x=7F4D5`fX=%Hx<{mrAR5{KuJ zMekG*9;Fvs0$yefrTq#SW8}CFGrRRR+*WN6SLNMUkC4@GZ4KSt24}cDKW~YWS1BXF z|D+>&WoAj4&($dK@%`ppAc&_gTSrfp?Z&l41j`?v5Xx_fO76jQupp-wyXl+fzG)*c z7;9(#nI--6<5q+6xuB#BtSoQA>3ROx>$879eZIZ;zh#2~-`d(tpYPb@2GdaXWumtW zXW)B9c+2$g0PYf5aI%a){hUWi`@ybOt2vA%vGpn(*n-6aeUXT=U0bfK)c5}Muaea~ z*^25K?0Y}_hBZ9=8S)8&8ZkR&6Zn?(;Y+m>uC5*Eo!Ry_01DZt*+g>jZtqWi0E7oD z=NZ*D)%l!7H~g+0J$95ktM9$}t^9`ta!^vE`i5RpGac~AXT@zP6D|hQ8zXRQ)?$SLX zR=VFzON-^4I_b`yAck-Ti85<4~+vbHbwOw*SLVA<-`QUk-+HN%RH&;{X`V{Z647Bs699w z#V6vUwBcB}IFC;fqG4>T#}c`EaK0l@_*aE+Ae{kcN*t4lS37Z8QoOH|X&9IrP&rX= z$)^>^R2o7%97jmSbssA3+9M+88qCC(;UtDdudv6;f4LQexfbpSP@nVgjAhohbR{ zwML+Lc7wM?`mGi+U;oN4dtJ#hTKb!DnfL?CKqom7^O!yO@Q-_QhJM$a))1)VxwV(eMsF)KOGn->A;jYXXJ9u>@nyDH|zF>zZ?1%ur0iW|_4 z&+qT;gY@_NyW_2A`J3vkM?b=E5+;JNm2_AhgHO;delp&&5z!)@7y6UVj>}fE`^&_h zEHb!YzjMt{Bz*~t`~1wb93`% z(p1&YVTe=5738zjd~^?ff%}QYDi$U{Tw8ptou2Jq?_ak$IIfZ&QFDE&Yd5afxhctMjyu+89hc>> zrYH{#{{_p%;@R6ME=tK(5elK?Nq~+;F(2kh+?!KYO(vpQ2ScM+#r(1w8;1K@k=HHb ztBp9AkOV=TvCNtSmRbg8KOE78&LL18h}Iy;cm*q~0_``t*Q)TaAD)LN;z~svn0$y6Q4o#v%z&NxOtc+& zB--=#=7FLEdNxKOoLkL$+ks}r7e|&%75%RENNJCL9xh1t3jjigNjwj1tqC$yL$qWa z)P1PBBU-v#*J=U8#H3+;^|&gzZbU)!1jV8d7w2Qe=MFZ8{*?d2?){nHvjeyiDD+Yb zQHxI<Cc&sTl!Dme8R`#!#0bA>SeEEJP`^3Nto_TcsVOZqc^y5`TJI~ z>rYX}GN|aRDs%6}7iO4UhG=^hgeK;PMX+?AWp=^^cDf?`Z&bEUjKKlmY9X!#`prF8 zr~!~D7Bwmu*A&){Ymr)}-}K$Dqgxyt58{9+@x~E5-bEA?Y`O+`-z1Yep{l`(n6$c1 zV1X)&|H-IND)~f=+ku+I^v9!UW@~-erw?AI}R6O7>|)8 zh2MLxUbjBnVU8U;PNrlM$D;dL%_+8p!A4vX#w~?lbmhd1qEibis;%keA6Vsy2kc6B zqs@%sb^-Q$2wj%^@)n@*N$9A6Pq4C`2k}lnZ^O;!@!3iOq%qAhimU8W({($D>qV%f z+=eb)17+c~x)k54M5{XeGq$tg;O5+VyO+Ec``wm-^1bub*KtKbZ-_sb&a2(^AQ)fY zvXiIKRnZDfSdEdC?P|XZ9P>+M_y7Pv07*naRF7z$;a9i#I?_%)8w~CaL4vx)Joq=~ zjO{MlOt7>Fh^0g6F8nJIE6-}7e0RcI0=9MZUi;MJ_gLSx>%?!N2&KnHJKHTC4&=iu zK2aI$>asX?MTvAmhiq$acUao8Sao%DAcj@IcB6U5wC;_gv^`q4w1wHFmws^EhQ2eI zbxhoggc>RA%6bSkvU9oIe97H4e{RLUHlucC>fMI@rRh)yLIF3&6M>KS-XBR<7Q zj14Kp@2Jx3G+_)f`UB?6}BDTrD1b9LgO1*EChb7Tg0CvXm5-S4QF znDiczaPTwyrgLNgq-O3s)m!&6!^2>O{$J$M-g$|0lZiiib?s;26PZ`Q1cLDV{ zefj}7Vz2M+NI*2E%#|2G6ElhnY=mI(@&kw6v5JZ^yLRabGCaL(dBsBE)tJXobBr-= z^t4fJh>7Y;l=189gDC!MQO*~0&NhHQBq=7Emozra-QP)qB}8(bx(0lYN|Mo zI2KL-&PAj{3tc~GGU|MB3o8rLc8Uzd^H|je2L$^|fHHn$Ds$u{%mN}EBcMT<~-i>4n>sVg7N*C}~^`!0)DpgrTN<~LiCA~{8 zOEWxT1hrjW6iR25O-STy9?Kf4yuSotuThT>>?Iw2j>{x`q76z9oWNQ1n$?_m&~2=8QHf(tgox!^!OB~_W4{eHU$xTR z2i^D=*X^}yFMiKzj@&O++KI6_#4TPY9#9EpP*c>}?+G0zKZLFmg zPCwUmvQMlwD|V+38-tijcmTt4MuB}54ighc$7w0YkZ@soYRtNaPuUk9 zJ7WEfjg|$mh+(h?I@=%^30STmZtN(Ul<&9rJO1tG``ne%&}%0T!Ghnoe$8?q7KLzf zdZ{!vUbk)(|AE1NLSSaxjxFzS1w%{!BC&84oktwRE0F~!G=T+Di7)AQ>MrhLNirfP z>jZXo`53AG`c2tZT5n#pFMa-ALWa^&vQE$kDBxLW$t*Mxl@JS6WeFdq0EE!@)E8Kv zfGy%t);qdEUBtHq1%T+H>)AE6ORjU0Bd8p9liMR(bh-Y!;t^NxG&iZaA#0j6VPQgk z1mdTWZ#6WjG47rJ|JvheAHVPJ_j95owGp0U*dJCUMBG!5^!XRQi3`|2#q|Ya5n`cY8}rI*Z32s6cI6Ix^_eGa|KmRa=ap_L zB%YYTQXw%hv$$N=43kr7S|R!-98F8>>TPxqbcn2cd+FjUj$jp_eD8kxSt~k0z_^ID z`qzz_tI8e!_`j!=m*Flk2dr~9zG0=TEmDEOb@ldq2_Lhske z7<4fY*O)nQow1tpt~c)ZtnE6u4FqeWsmRZP0GtXT6%PFouUocSxBEon@qG1ahwVG^ zi%wxZ)!Sut_dnz=9Bys3YEo;dY$aCjHK6)!Yw-tm>oI*EL&>THrYu1w?6@62>2X@( z%tTyra`Wxy52D9G`Lnu)?tvX%@oLVWitA(H0K`>H6FEPHo=M1B2? z;+G|SgXX4RgM)dM`s|}mIgd6={FAscyYLEJh#Wb!!NV$XJxq+FT|Ax%Edu5)p;O(@ zPOs)pOT7kjPe3hX|&z+*r6;h~g*x@w|1E@A3puSGOK@hmFF0 z-Ca=a$jWRd0L#)&^sTyx*2Ew{G8LD6L4E44z0%YCBi;62v#+_$Z`nk29g@SNgwYnocOzV1#k zG}T$>+&|cKM~|gem-{um>$}^;;VScpFKs*fhUI^@)b)`r+_n3dz5Ju^pwmS4f>Fzn zXX-63Gb*N%u@v4MCnD|m<-OLGf6hnwz6}J+n;tHPFrI8G%gu0G1I$C5@W9xP!DV0= z`G0P4r`@~jpbZneoLyGIu8!goQAp6l(WxYkL~IhrBz9hYI{u`+Q|I03x2;4>zppWg zWXY++Lwb006l+-SCWjzmrIN*NtCxxx6)p1H%QbXuFTQk+9jzP@vJ^eoIH5BM?9P?9 zpL*Bt%J!Q@GRsBaKw?=qo)`m%g*cT$_=jH-aLT;*`2XdIWOHj10jwiVj77H(+(;>j zS^pKpE}r-@%zG$XrPE4=TT@1$6=DuUh-z|fl%uPXf{No4QFMj@L`=aMH<7KnAnDgQ zzJmFFmT;muFr3IF6Cg|SD)7RAc?gs;2`v)Pizt_l;-CI;fN`t>jg^$|^0-%#b?+VH z;`;OLPThenr?mwKUVOKPaMo0eTvk>#>M4|H%!4?^3sIb_hlq_H_(95Tr@y^811{1a z7jaO3PLg#$A?)JlAFzr0&v*=9Cd^T-(p)2M5k^_Gz3`i>$RBQLwb!687%0zGt3?VExSd zZk68$dHWAo^Pl`zB19(1xRdUIlLyr{%F@pO(sc|FE`SMgU7hb3K;7P^ouW1v>ca7Q{077fW#SI`gP8#dZ+ z&$o|TdF5V29k8^EOR?}&+KbQq$kyT5qS3L)e+Q}zH^L%@>k_(T0H?|r*dOh5vN|bF zaFx1uvsg3Wl4d&EW!tw&ELI{SlNZlhbY+E2600Y-izu!wC9&-4$byB)A^3RBQ6nr6 zeN&M#JYrFU0-$a5=YRm#d^g^R9osh&0Ivp+nk%N0{amt#f{#&EI>L)=H&ol84 zA35e0rw}a^J1BjS>LbV4b?Tw_6*3l$rVSI6Tjjb|T(H03<7C<05EI|8g|7xxfXeJV zA~?@gIrq{t*7x+ct^RXgvhscV5t-o(DDS1CbSK>9kJ{=Jt!VnhIgf5|gZ}Zt>@+ek z&D%Yn|2eF>&iX(f(1-Lx3_;5U^~Y>cLGoulVNv_2FY``l9EAia2PG~$u8?EACqRe~ zJ^Eu#v|k(}DK7nOZ{e`R%qVFlq%^$Z5&y<4%-h8TRZav+wS ztd)!t_i&AO-7b8(UVHwB;EIiNOrkDCpZTCnL+i^|5X;M%PdhfBaks~9p7;@c*d~HS z=V^kk4bn_3G(p5LEQHa~3nW!&BmOPJs_-Pf`1~`LS60ogP=eEDf^~Pa+L4p@TKuN9 zOq>o222SJx=$3LybOg)kX|&^_D2AB4iQm$xQ>RIwIu1ljA5ZjH@;IzQN^4#vKEf65*A&eO*H5LAvy7JY!Xz^VZ6$V zi}Mj3fb77Em_*!{bRn|9WR?`U2{{M4gu@tT0!gG1G0;Mbk{$$(CxOK$@WElk(`f3p zL|fWh@yjADSs0zxDqP?&L?{h!>3QxY;ua=&c^W1C{M;jZ*?7aI(fP&D9$9>&<6=QtSfW41EJVzY zkO35U4Lx&#3{U-!e{2)q`D1H*_)Avwxu3S( zaK@=9+x_A;6TbKxlmG7jez$k!GIU9JH%{~kRskx5<<^^4hTchm*gD4%O2$(<%Y*kl zCR$JbV&I_P7zJ{wux}jdCVs-*JUx#xErQsrEC zvmM44MI68adZBo9Mj}@J+iUMD#sp4SeoxRL`R^U=IcJH<#&Ji~&ZZnRtfOVkm(y*zpAX$9!U>AP7INL=G z6Z2SDSAn=Lg5yd=0WDVqWJnh7n$0p^fIm6TP9mODE^`l;*N`EMK)7e6w_}XD22m5F zXWJ5CIAbW2llK}rpQDz1_UktA2Y+eb`q`hfl28AHRbxHQFTer$_JvIix6T_^<&9(h zXTAI9Xycn@0{}YE9rMr0MkI}kT!zDjAhDS!9?~;ih}-n$qt4Z5acS*tT)Z!8>(b4tPAQ5Hz73w3xRm%0!Cx1N4wh=4^I|rk3@wvg@ z1C)k1GS9ZPwBtSW0K}@+uAY4zeFX$&W6*n>Z(0t1N4FP$u=b#czjE~&kQ)716SXJ_ zn1wJ2vGT-;6Yes{$uM!G@YE|4<+jS?&Fi-F;m0hawiX@4r0qL&1izaZJO5e>$uH59 zt--Od%O`_uxy&LgEKab@j2xRM0cSx@k{#GnZe@kA;2E*@t#ALO-LtC^*blOzTz?hI z*qlu)r`v!2FW;~dEJ{87qi`*iOpZCLEK3Gy6Ifd^IYTXM1RU~A0&LrRy6{3+v(Nq5 zLny44Erp3(vHzf}>mt56t3VDPItZ8@b6#SSxJc=Q$ZKu~fl_h0E*6A0JNUXn=jhA|M73^#Ulr;_VJ&z+?sl_Am@{6KF@KiiWZP~>dzPT z$JWn&|M;9(HoC*hmoI~PriJcpfI26e`xT~;jRwO>2o=LJ?~ojBru&Jb(^-%(SSh)_ zh)_Y1IVNJISUyiG&^9BzsqU=!)pf+O>5*Pi)#YN?NFl>_lN|;)a*cX-HaA%Y^IAS) z(v@k$6+u;9li;8ex<~TVy=W=OU zB$-+%VagH2QbbNRXfY8V=dx`COPwO!l|o3g%PbRqfBEW|-S_A(xJ5C=qTLC$w~BVN zDLkI5S&SQ%MWo(ofp^S(_i6G%_MFQ3!y=A8Lj~mwR@_*|DR5%GDMTvXe}3v@(=T z+u`~&2n7C5_##Qi7K2~Wx1O0MJIijYeXEuYuv~6Qx+TNqOrVpK!h9NtnEcWl6yyNc z!MK!Ur4pi{(3TjRVxqc9?^;0}5PM^A>riextqpmTJ80*P-|zG$;^X)Lt*G}=)W7=Y z>Q5cy)**%YUNFSotvCfe3sA+0c3V%xc~gr;C5*WeT5SEjefId{_?+R9EyP!G%CeYB zoY6v_M;sL)RL1657U(=gdvPt(s_J$kF7;XXJO8Jp6&ZM3 zmn|~~|Gbq(2o-7dq;LlZAIu;6M(akEe`ncB9N+otKkkw3BUsD;iG}NUxyOLv-rV@Q zrDuQ2WoB-^hD8b%Hkw^mrMn$VVWHv-<%H>(VQ=piElU0O7n%S2*2(D~a|?1~H2Wtl^5i}O~u=f-?wTz%TcU?{T&Bapt7>;xh<@(Uu9yq*cIy_OAZ& z`%HZ;cLF)hO1b~eqI124FM{K9!#dBuk2Zxp4F~M(xwCeJlcy0)<*B}p%||{?dcCj0 zb82gMx=kiGuMl%T+ztq;t2mCb!gwHa37w;ePW<7|SavAc#8>uo+^{(~=?b{!fq}kF zg5~$qG-Bx-L~1Xhq^zw;=8?b-0_VK zL5s!jRR8_<^ZoA%(9OcDnDAB`XOMRy^XoPeEq9iXNMa|LMyOq!l2p5n%Rnu^B2545 zSb^MriH-|)UtuvyRli11DFMon1bjRdRTRnNT@Lr6GCH6r9jQR=WHQk$u8tIc-019$l26Q8$#(p6iF*J*w~ z`R_VI+^>qn4=u16xPt+d`{U!I5a@t4>_5hDu!MIgx{r4+lD8sONu+Kf)Mgff)zEN= zAl}kV!gzkhK}Ywf{cb zosnhd&c9;OC+@M?;W4MXltD$@n_Mh}moB~3?aa3uL60(B`NGzc_F7O-i(CG(B2PP`7upc?idf<)t`O!Z@2T`zp zi1$3)QLeUhS$%Dd73^)W&j0dfR(R?JUi`o*iQv&M+7vZ5)z#JZ+N-ZxVNs!TtC+oar&@L z1k39XVPHiC$eRYRe{9kN0N&$a{_+c0V0JhwmpZBkeTAD)SZ%fvqW9{=t&8rx&eL!H zJ(c}gKy!tN9N2xVqwQt8=O7?`AV02=kU<0s^1=m777-~pBiuRptQR{Z{z(dvktI>? z2@#TLNOz%~%Y{|QQ5I)Cc^AWq5V(SlgKJVz+y_8`6qgW&ODHQ2*!fH7MX(sEgn9ti*17@{LOn*b_;cnHV- z{rk~Ny~Rz@OCstD<6q%AJ2yBNum8MfY7Ql1J)UmD`x~OB^PLV9LXnJ)DUD$B>2V?> zz`qJYfE>~+Ghi8@!T9uCTfrlKsPP9n}a9TO3c=bs%yu3Gg@K(r%+8cuUF#;ami7dAQ1z$pbr<|&cApgV5`m`CSqsM6;KbXn-CkeO=g*@#Uc_X;wB=jn9g+?28bKPi{(RcFhoF$a(WubVlcRt7RKN*;NB8>UL1aHi70eg?8WT9 ztKnVf@CL{@y^lImZ_6YVR2TL22*_q7?($)Q=W2KVphXKW9#PCMhmIVz%0K$LwKdX0Rw{u;|raIxmDsSel(`ql*}e60z`->;c-hY3ztnz%y7^OE`)~fRBm7 z6(qV;Autdxo~!!=(5?FS6JdyOJcPb#W}p*)s3oT#YXRl8ySoz)|D6`MdxuRm;g`2T zu>5-a@2a0(TZ_9t?G`sya`>3txbl`&La-#}GXbpx(Xq9K`x2Frl1g^#Rp4gU-=w}J za9ZWu%Js_DTWLTqlP5j?Dts0wp39%eZz6E6IH(T!X+f;esjP#W zq?A`mM;`|6GXPqpP8FI!6d9f!-WMp3+Sc@p=wKL~$E3~R+K`S26LcXjIBcTGUtx0j zh67EqHI%c7crL5>b@zAvzTPhD>ALRfwMtIM<@r7clQL?P{|~t2j0|zKas)yzM}2}U zp#1cJ#RET+kW@?`6k_?pA18)DSRug1Kqv!n3yafS53yMpX0c0*pG1U^sQ5M!aTU7D zA8~Ddo$qq0``JG?5hH`V+^^#VDI!u1hn4|s@!`Wq(LGMs$Y1=nMJo2&TV$xYiD)y! zn7#p5vF?TxXf}3OWZR=nDm^t$-GxH|9B3T%^~2YH;Dn~ zbAc6MMeOSz$EOK@IL6wqt8{r1_cSx&5bdIX*>S#BY-rAD)QNJ;4Y+T48E~a^^87`V zMOhz@PIVOyH<4>I3(M{L%@#+nO0W`-ee*k3;W#s><3>Ha+Ul!_i&y-*=$rpJtG3z# zSZhbX?8*ZK(>WZo(Uz9&28ZiuJ9-@7JBQ++4HkbpP*eiKfd814Z6a6_6J*jIw$83u ztKN0W=78=9!uKaOtCdYvAwH2m; zzYh`n7vIXT)U16L?rbF=Vu1^$9vv7!Df_lKxQ?aE0m{LNNraW(l0s^v=TT{I`JokL z{%4f<^(+Q_q|k53lU|P~V-TdukruFmB`cl~?)(X|S_ zsv@>d*Gn;e_Vrio)V=rFxi?<6(O-Yus!PbejiT{kxRff0{R=>+Ji1PuiTA_(+@c8` zibnUC8cMO*fkAugr9ZWMf8}2gl5}T+t7rOsnwyhDCgn?1*V{0iC`Y{u@^f*F?UcIO zVx93sjtc5anx$S)^PFxAaaPBmN-?UB#-HyZ7~*=@A!ORz#PLe3U07MS>9*^(OdS^H zrtIm<=j@ROAGCakbicUeDO3#j?x}aT_TJ_gaQv1+UDcjwh<`^Hl7~K6^H8O% zyb>56Lfz02D!tgcOJ0l`WJ#P>C7V|sXWjlZcj>nL*#?5O(RhIG=Vv=GU!TU&FW0U; z^L?v4aM*Q%{AGIET2X5Q`wC=-KUqF#5BUq_ZrABN6-`Dh>}4iWbK8s^KfZ&3)lP$s z3NA&-Fv3!_ix81M%hN|mhXvPy8-t{ss5o|27LE%+f#dR$TAn}0VEE&XRX1yE=QuFY9a zWrgMK*-iiKvPnG0rI$h@?%#6r-JdgZ7r1fb2741x4Csc0%ArIVeti@O9iwOBrjiKP zz0Px&*o2}PhZyFN+9wBCfg47%Ifx+5GiK93~m7KvVP zZK$WfmI8_;zQGYI)uF7sOmD6%;ccFi<(d68WrB#56$kda-_%d*usQ4K-WF-!R8ODH zjSTQ~l+3(>a6{q}wEv5sdZdKA=tlg}eAi284$jgAQieD}j&gjNEJYw>)D#iy9pS|^ ziGujiCdXxRzx5S@fcpk)7V#H()``0!8tZUF0dzJ&xCLc$QKFC_-<3OZiZG`{Tve73 zMa7H7AW}wg4j<`LRm@e+5d}97Xrq49VK+{+NBx`+l11yKvzEoC5l%zAS(t*ifuM4J zI(7IA+@%X7)}?TCp)&Rp5h#k3-YbaJg1Sk<;qu+eAY$OoU3;7^QHel&s2u(5^<#R z`x4=}*>oKP)EI&8CHByndm)e;IyMayC1d9f8zHzpXWt$g=Jl11`-rbTG1akK3g@an zLzx+5_^Gs^&JL^EDJ!&hk4hZ1FJHJyAF(M1OQ;V7%k=vAm2Dtc>Lg%Q7P@S3tjZcH z^R4}rXKeo?pYZ0Uh*d{BnMevO9{-uG1Z8XYz1wk}oIA_c3clk{0p5r_gJ8bSy97_t2z9S|u$gZH}Vf&6$BSggsqK8n?b zop}vMK{=0#0Eu&xD5xD=erkG&Pe?;_>6m-OZnnPWl)K?Ic6iu(nc1ai50FtO7&Q$C z;02q9U_}(OsLVC-aAZOJ$Ycpso0L#h3nDc|iyTx=g3<$lF+QW);7)-ktZpPR&BKu~ zDM9{62+s6_oI~Y*B+FJ9A}Z+SMFQAE5U3T7uOZS*(xxe1v9t3Q3-MUw`Y4Id)HBH9 zU*-Ap?EHeK4@m4K`NX;u)Oh@}b66Dm%&x&M3F9_Gka>bQ-g&rH891mvo6OiaKbs@t~-JyHHg}hgbE}s zvDO9$2f@mc`;7qo1TnL*TfFl&+jit5CRidN8uUecc>wIsIf+;?1ok!1DGaK10f`sm z5RmHq2VAGzJ`_GN@+X!~(lHf(GU;8qj_-f}`*yAArakt=CpUk0ANZqdL;$8HC+x}Z zd>hBXgZ97u#(%P@D6Q4j%fSW>2XA`n9EI)n^PEkJs9`Cnyb#RCjDZO=UUcLZLqTWVT{ zoqqI;jUtYWXPU*RQZ!x=ophX`g~9mZiW0wFUIH@FWtgE&%x^$rkA89Rar9}8EsvaWQ( zbrQ%7K@G*tz(pYDAd-@)lNky@>r2|e zAxAVC;Xc}!7jYb@K61*n>%wc#SOmf%{gAWL@_bq3!fXPIXW>@hV3+=<-?V$b`Uw7X zxC5v#H-ZpkSx)a%{P`t|rm}?>6J)O9xK*C5x#iEj`%j&*Qv`IojYPUcesi1{@9$Q0 zf3^uO2cJKt^lj()@hi(%3X1YNYVJ7TqaciPa6OIF$7 z#mQ+=E7ehm#hI8%e4Wm{ZSd8(+QlV*yNSXA+GL%#^y5=ceG`-ZvK=E_M~Dn6@0cVU zyK(cTJ@VM6fU2ECk$c4c{^^T&q(5bK`_NBlaw&XBQv*LLsD*bEIgpC$Qd49ugXI_T zP@SX%kH#H9xa48!wIDD{n7>7+#SI1VdJe|t!f}y^GeR(TJh(`CqxM^04_amgzDkyA zpTrT6rDu`SBCMu|+{9G<H@m z#^Od)*$_k_6~CiscGQ^cA`9mc8bV(R1vLOB7R_-*m}5yfWA^lqeq{BC4wK`9R!~$3 z@ghhT6oT;Dv=vt8k&(yq2lI&W#>IDgqfeppt{)`^-7adq+vRI;tS67(mNE zXK&#C5gF|uFBdvIaas`D`R`t^nNOUs=zss~w)5yoP(NxNM6&Md6QdZo(RswS_bL*T zUVHrw)Lali##LVKX~gCE_t3TCUZwB5z1)c?sll4cn!j7;p?_@>R_X=9e1!QX2yW>h zH;o#cqQ`u`GhPlufSTGTWw*v zn&*S&t8se2_kNcre;D_;{nj5L!P4T_pe>`&y?~?W9vBTo2Ns&3f3@2xkamzToQ%pU zS5GzAFX}wS2+Cq33yYlh6ev4IETD*i^cp4X5;{*4th%PwE}#c{{f)C$yJM$S6%^Va zX7K>rhqw&sLIx+sZ34Qq4`u)BZ=54P+`9edKaaOvb#O#B%}x&|1OZ_CXJW|0Bjq~; zjENJ5%Mzh*Ue*%PB<5{Q$gtAjX!%P?MH?bGGD=sYEG-dRajY)(|BGO}r|? zCw&`rTg5uG3SnR7wFVjmjY4@WE+=AV(o!s{zZ*Rb;+qutBvqBeQ5=3@;fYnd{PMHt zuFy}x87TQe9QBH&e+CyD#K7iG1?=&gm(6)AGW-fx!f;eWp6Ts{8|MbFdbTUAwO&%gKrnU3-S zk@S+0$l2AcgN?dZ5$D?c7k_1yr%$;A8h5zeT@U4#RoMC0U$HeHd7@o=#bre?5A$7w zM|Dj({f_=Eh(YCJRz5<4}4l{rEdVKpn>znos?oFaSNFVFGcgvh4Ta? z$JhV}2zkVfoqPG4_VB$YK_2agFrhahv8(h|5(S;>0)uM76po`y5e5vzmzB!z=!wwJ zgmKi2kexS-LRyIz!ib6@axp1_r{Nf)ozrKnPe8Po=;#RqmY0?mvQIyZm^Odj=2v!D zN@BA0%R!V>=dLiR-Y&Gj`~UW#t)J9*iuz7{3d!_DHHjNaGj+G(b&%6Zh4FmXqXXC8 zvI=(PIDqOF0PPg>e<{;?gn{~<)05_gU!f#!zJ6)|vSqaTyeH_lFsdWXDUT%YQX*Lxn36VVcf zuF`~P-re0rJY$`kJ8o{Oueqn^9uBf#&@~zmPfdc{?pUS%PsWO-;oh|6r)ZK zzj?n1mPfd9)irhW*@nXZ_M3cw@9);$4{(cjocfUwEH`=R*g14P!xKR(K*>1N-DgP^ zHMR_4Nr20mLqWU1q@EOp5*&cyyPV~Yc_G$3#H$J4BoQqCerk~vnkj@)tV%qi=o%?` zuMkB2m7n=pcTAHu$n}0v#Cs~r)IH#7zjFR9tFOobWdQ;aBx4WakPsw-2CQ# zMkEZ%^Ip0zN)RN2WZ;5hA-G9}h_mlj^i1mRTsg#Ddh38=SXj|_Ng2OPu<;rTQrzh3 z4BQ=x^tE|(Yv|L~=(A~vz|!QDOXv{?F%t(DpPgefxP=U%Z_I|EMd&}FU?jtd#QgQ& zSQQ+ykO^Yo;M7LN=(%Up7;)Qv;I=raIlV2M`~GR9DQ zBZ+dm?OZ!)&ftjH_AC`EUozhM!*kP?+(G^U2-e+-=7e9=CViJ!nBTCE%ss=0b?HwI<@W25l`baOL9q`Lv{t8?Q;R+0f zKLJHJ6v^Jw+R9`iTq6nH1d8LcXD`~W%50+hCjo9-Kx{-mvW|WNKdBgSg;o&(z0A2Y za0x`>XCnf|;f5g<`1%kW1>%t60@tT_HVG=?Qz2MP7M?BF1BqoKaGb!RALMOc@GMw>5|Uhd zOG-;_!A-j3eW>w72$v)) znd0)2vxsTqb+_VNLg0X9b(Hnd>5y!Bnm^VXhCvF`hJ0=871qZ-g@(G z{QRL3EWeX(Z;yX`VtfpIpH#v@(p?a2CFo(Wnk3Eu!RjnBSRzRu00;O2MG?de8*4>lhM4H$bOwW-C z(qe&)ln8-x!P59^L7bMCFc`qWtgS-`fv%DGIXTs3=Ptt8q;%M1^Gz_!=Pj2-uaxYu za0Lp35$EW)`JKJt9T6P#PKbIc9`e%vXh$C%8?!g@aIUJV26eT-op`tV_`i!cNQ3C# zE>_*?`M$oXt*wo4mO=2nirnItery1oeyxc^hYxv|@#ir7<6Brpf}Ag%?%x&@9wv2fBOw9fACS1>0nxM zoqiYBCvHeYGD`Ph+=b{M;-oCfLDImjV0q2K-9}=+L z&Co! zzF&Z2TF26;D#{m&b?G=yvF{-WnGgp=bj8VxNX+3}O-#Q?tWL#uNn-OT9FGW=Ca^56 z;!L$5rAL!RRSSAn{z^PY{T&OzP#hgS>arB6FC7tK(fR~z>v;Ibu3Wink38}y9NpVW z+Z;s7r=EJscGd54W1!8%Ddzo(-sN3rq*08UTry;QSe71*`mf>3#elnh(PDH&K=+1| zl6!}8S>9ekVD7Krtsj+w29r(7CyKY9cl-Ae#5)-e62VJ*yJZ_^)!usc>*p`nAO6YH zR==Z?-dm;L%Pc!7Xv3XtwlA6NHRz(`YQk_bGx_U2c(Zitoid2@5MqhOEGRD5o#>sR zF;f3;E&8r;khSrRH(s+WpolcCt3)YC54U^QZVVFWt=JUGOUmrROV2t`#8~d_ooZ8q}E1V40zJ`ZTjEeAj#Mi&>PVaMlgO(ctB6&vxX7+O!436N$mu%B;hy}+_ z03m$qJ*1wdrD{)210}){02Vx4-P}SafzPpE&=27GKMf~>@j$8j}f>!ul zP_l9l5%Q_76CqdFj(o~Qutg+vbLmLqIVR}gVz?9cK(`6<@eXCMZ^T-!PFqI&xE(#Y zlT9QaJz%QMPWJGcb_7d)TelQN9c*xl8UwXa7S}lXe~MV!%*B$Q8Wsv+%f3N%9{@dNI(ctSGaTJ>T_4Oy+ zE&S{J_uKEg^}E0L4jw)MURIX1^$xILI0KzSEWyHsh+G0j(`0-5e%=nXInDUs)g{UX zZd|r>#A3lTuTwt}s}-zo^CP29@3n+O=Q@@)DQlIPCzi!6qLRqMG<$E0pUd0McpP)|8_%8^w>$XmGHPg-oUXh~T1vD9%2&}jiu-+jvY1JMEU5Q)Xu7-bn?`$`N-n* z9r9Ql5d|jSlCqI6kdv9`;3}SGVH=*7loya3hF|Fj`{ z)8;MiNF;;ziTZ0-58kJZkM~9IdhKhhRd~@|up)ghLRUlst>3oECY*PX=NJan<|T`4 z1g1h1lqLjkB7M2T38defLzFNvhh4~X#3G3b(*Ox~leSSSOvb78VgH zvTsv~F+gJ6moQv@3ELTriYLlRY;Gnjqa1OF9gwU}EST8M!wG7~Mr@T!VBQs|lN-M~ z1S1uI($jVOhI)UovO#H7U~s6Q4a0S{(VjkM^LzNtiFv5iRfinFNph-_&~#t5YIRqX z6xv@pY3XbnZ-r77tJIBn>58ip^%YSZ>#;8Kz@wXJ16;vl%|bgg!Q6r~E%02rTf z_BrlBJiN$+36pFK6yo-ssD}Vp?Z_Fkd8fud&bL=ydC^8yjCGJKEiSjyPCLVvu)E;2 zS!ewH09I(I2k6;0|oHTO<>T2DR#jFetuo60sLIAOl_OOq1(ryspS%iDL zS$≦1Ci4GTci%db)%Gr)vj9?=Tt^QSA7o50b?6-OrU)Nt&3obI>l966YRRgb5lj z1bMhFrxP70D1EzB1Yq%`y3xrjD`9abzW&I!kDjLi`wPS>&Dz zpq9Ky<-o2=bwjIU3eNVcp=cxxd`6i+2Thf;YhU;#Xn;(c#8nqjK|x#~02Uj|4bLz1 zk$BVly+i#;7mG@LTF77O8L7w!rPtLCSPmL7@}OX)yu&0kWY|F4ea|90`>de|-!(au zqcF>+^ufh8N{`>hxZTK!ICS5k> zmnQ&D$ld^{7O2aJ@?=s%Pfu+2+STjqg7aouC7!RPs4T}9YCk%@m^KmbWZK~y4m zdvT)vgQi{zXm^{(AQUfoqzNu9=w-7ARe$EN$Io_x%90&Zo< zyaMsjEva7~>{(CokL2Dlqp-qD?2wyvI$s{Yb*om|hy*#6DlVOwR4g{`T(TS+Kw>jk zx`oV@d`T=&I;$J%xZ0!Vvo;?^dAq<;onP>w!O6L3E7$H8xvas(Ki`*yY(&e!X|MsR z&&UxGH4{3a>e1VvvNiBRkoG>sbOnFTRoAV6Xk9U)lX_;{ys~~yc54MwIys@uLLS|c z_@&w)gO0A>KA~cttLTD`Du8fbfnqDUj`Ehg3n0@hD^@Jtrt+KD0o&jkl>x3D%*G8+ z0aYz1hC&sHQfaAXwGEk13hA_r?*OF1qt>TcG|S5*vEDx&1x@Wn&;m$04`FazB|%$% zu(js^D0KjdlJ%{$I+sRz0bd4fl7Ae0_9>ol8m@>JXL(tO@Uh9{6= zQ8nhoSHkL5Sl_Ibwrbgn?$EcTp_01d+HK2v3Q~>P(j}0`5=dQr2drw7 z6`&`WB^f6Z`W`HC%t7a@FO-+sxRXVUcFZmdnB3ohCb7zD6qqqrGaMZ%SoU^U?Q|Ue z$|e}Y3RBx`EuyXDo-7xRN344$Y-Bd&ZvXQgwg>AJGK?66?~`%N@d_rT&nr8h7CCV6 zae3g!NA<}u1FTTX)vH&b6p1@0bWvnPEEm;R&U1y^%xB&T8HJv%qw+ijjn+|X=P*X9Uhb9B+oheq)-I7N&=*<@3jp8S zbVoCuzOY)m4-?JuMT@L(#3&Xh>Ub}{jlhKO+6+Z0v(EzCR0eJbpq8%Z0AD)xrlczs z<9;#TX!@cJ-w6e`_1Sq=J-p4vpL?$BJ~>qhoF zw9Y>NkM*c*$kw|i(G3i67C>53aU8ppzMO7rmf-ZZb+wHc3f0?)g;@Y0mcGfZejE0z zYMw?e$Aklp_(pgcbQa*JK-~iD@+2kMUJF!jef45{dHu)O0dX0&+6P)~MU9O@eMenM zps#PNF{AOrg#)$A{V30=ULs26L-%|VVv=e`LA9B@D`~@el(3sPVx-3!vI=Y^yG3T9 zm!)x`e5J!E)6{avGHB3=p-6g604oeUtqf|@sFt@E%zM^KhYr{0-y77(G^&J)`YB|y z-C{q91|KMFf6#}~?{FYPF6yO9P|vJ56kYrDy7PYG4?*nC+&OPl}ROj(}`x~ZM z?WRo@gN?|Bifq`t*>$G$NF61#oku;$pWcAl-sBPWv-kQSXO5?UHg&FsBGD?9f;#Y_ z$~@3FA(qV26;VklshelL@s_~gLnqS~sK;dX1(r~^mp z;Yr@60oGdmvW*&3M!%44e%Na!AW@hdZ8?~&fy!y$&16a6MuAv@ad}5-Zm3})0RxOE z7b|WXLM!zh?WS<*8md)Wxl&TtO~Axnkl)wcjIG_V3|ZMO8#}DrHnKP+`RmaPs<|cw ztvHK2QAqsGl6$Q{q$9vO5yCM6tngy1psuD*M{^s5Z$s~?eBexfl-M9$=jxb*=&zqf zpyU9hMikr8i$Ff!eK-mL7A;!H>PxLFKbE2tCs+0gawO2(5UC7k)ru7@WMD0TSgU3! z*t&MWPI^pIH;|Koc7&d3%w)3C(2h_y2i7G$52q4nQ|BTm&nqd#IqF6mc-9$Syx4#( zn>Rtp)H-mLV=MgV2T=mO%$0aZR6Me_E(z!r^qsoCmEY<8pWYVTQ;zzUN-x2@EU@ZZ zYQ<7)-T_}K-j_VT@Z#YfM@=Bvute3H*5jZRuS86$2h30D$U_sRs8y*sG&Ev%2+j2R z`W@)PiG^k|V?U+L?6fqXQqc%ohFPABTox8ma(E^a+o+@9U?j#iEWJ~6T?^a>EH#s+ z0526*k6}U|VnGHQ|DvEWP~03f0_w4ri6b2fhiP;VlW%bed}chTZ@$*{RXTlBeQoXW zR0tEM?rTev6p%G4r4FIK8J>IO*Wu4m2dwZyo1q-EM60FVN(N-V;u5Dk!t?#!HQupa zYvXt2r+oN`0xRjvY)6^$LRha5!T|7V;_3QeLG{rRy1VLvvC2IJ&}p{PPwEpb3gfLoDqX{bD3@spfR6EeO4bT1vMmRO`OfE6_MP_n8=7(!oJ zyMC>616p|>%i@ec^g!+BiF)}bv`b7>WHS1J=pk-eH&pvtUdjDhxyc0fZ z_Xvr3s0?*&dG(c|VF=|=cin^X6AL*sZX_<9&bf&lAy2epeR;d#$KVN%s}#Of21>Jelqn@#OpqNi zs62fy={HTD_8#x6V<+d^f(0krf>(cpMGz_9Lak^qfVk}dRvU7&bl;n(#USKjuz~5Y zg_6Ie2*@1J)F+W~@h`iOJQxQu!yQ8qFCtRxVa@7!*vdMWg{utv#T|EBeM4W{359m? zS)=XhcV6t@N_Bg!ZK9X&DQ$RX?VMP(dZjNG$W!-`mUYO+K1hUK@>vJTA>ol;L}3FC z9}BRVq-E4rIz$U5N&2w+TOnHUqjRc*>cjDI{g-g=5&5J11aSV-`9Xd>?0T;J)X}x- zRe&<42BP$kbpJ>~S6xG$<3}>~PU^>a0qTG~)mQZlb?sgsEop1UJl-LEx3z25`2I0b zeFZE+=y*Idoqan^w{H{bR9VSJaBQY)Xxwk4T}@$Ec9fu z0Y8IH(tLdMyVx`8a!}PTa^RZQ`Md+h!i6glnxk0Sl7R}v1bb;?nmzKwb6$_cz8Bp% zx_`%v9t)MV?C56t8ge_T6qY`yRxAWqr=k3)(8khgJO10YEm-10#~9C>t7=*Q?z9|~ z=(JoSURmHJy%e{D)ThwBuy_aQ){zhPj)`z2ZSKC1@AF?|xf&UhyqpXlUJ(h8l}Qiz zCZ^x7oF3xu7boEcFs#1WW6)sKyVb$!EL*6_S->!p`V02n6=aPfB^?zGsbNSxg-D&KU}%-o^%IeIO5DHfZJ~`-tJ^bnln|+41+Z-GDlKQFMwG zmHxwOyCaW$)Br0C!p-Qn$d{Y;V(FgrJ%(O%K8D}0dCmuJ!i;Tm400J8K-HTXun`5n z4!FqUOb5ctHk=crphF@ZG1tRncBlcP=LZTBF=(YQA1~ay zQN3|Aj9&6UN%p%hHJ7a{EM+l?zWm}NcFyVOZ&@`ud%+|N8<|m^(60?Au4(K!RFygr zxFfRiRX|Zw?WotJ;o(QVFH>>+ZEwjVQ}18^UI#i-+9TG=Oemm&UZ+E8iJBkp z*C`8ix+kh_cNI3yp#qs5(_mc$mZ_o+nZYv{T&b)o%4Bd17FczZwM-t_R%S-rr}X?u+FUMN$R4_ez|fciiyEI^HcNzmN z)jwgmsfR4ms%+_BAtzyi#l}`Ds+@8cucbw}krY%TQqv>H6s>R~tCuape%mS7m_M_t zrU!f9u1H6465e|RlbU2%GcaTj7E6vG+rv(JQ~)cKx(Q2;<0ec9mtDuM#`Ip%yROmI zp_L0@67t9d5DpeZ6?xG2C+tFKJK?0szJD-r4`K9l?_Uj@4gsByA9W(YB~EHf*F_YY zI+=8?+8M~w$&!HFsV`nB3wFxEl6dO4@+GKkFC9>ZosC*JaES)_V1a-*QuU~3wk;xW zQID-?R=0|Zq(f?3Q7(Yxe)*vKB>re))yO?ir*jc+1L*`w8(-#Ga*+Eu^+&o)08i6+ z26?xkGe%7vB-6Ool6fk>b~K*#Uc7`;lF}6uOQO6A`PE~dWeW;}ec(^p*piZ_JT=gH z&vtFhC9cfFXmS#m>skX)@9FKP`g7ld%2A-|`i@epb=&rSkDG@GV!bAekNQi-R zkLYn|>*2#ku!(#XTZbB1csMkrdqjoykoe%EzlQ|fZ-vH^N!%7bd><+G@iD^-c8}lN z>wVJqKsl%k3hA+fh4O2$4Zj-;2h-7R_xL>&9(7Ri;Y1YFJ{g7qM>@&Tj%KJ`QEbBi zj*8*Ky0Vq#z04`QOoC3Y&92fu);;gE)F)`oOZ(n6q)8J2s|FiyUwIVpcLG{k3DhLo0fn8Bqj<96h1Pxsb@VRGAOE<`9JS464*+jUaP?UKw#Ic*j`n^$KOWkw*BikGEy#0oB!VB&Bp zDpfMAPFG_{gG%mX!@rCv`VJ1jS{}tyl^)=w0jN1G+gU~1qJRo(sP}4aO0$<&47an+ zFSFu;Y`cxk&|}7oJ8DG2p_)tYu5UUF`)IPWRm0?Wvo>GeviS?@AX zoz(GU{Znj90sAHu_Is~*1B7PiUG9molrq#Wv42n z%Zj$!9k24mJsg!FBX3eX+#A&W^`pJ@<4^SC<8iX@Z{KIpEX{1$EuLp~h(94;nGkl_ z4uWKbaQ~Ioilcknctd%kytevA6h@Rb zn#K3#6Q@4nZJSt@>Y*UPcBr48D{VOL%_sgrqh|2GQR;*nJ)Cxb*L|)f`#D70wZhg) z&q={qVbwWa@5JJ$wbwhbz6Ux_OxL?}^=PxQ@}VqH04*5p6Z-~^0Yu2npfEe|veedG z=d56iRYFl&V)cAT#H6Of!cJPG`V+OgC9C)9^9L&XH5PHuWJgY6#ou5U z+e>b_`BuC4-ltI~VA&Yif?g(-jhxw8eb{WQ#>cq=vJ#*DB%tIY%Cw4D34Jb+)Uuyc zTwK8Nt$YpUvl@gQcm#`@k>vnEW@$ArM5*W#X~64TA4XJ5BQh0fxxb2%+mJZLSC0t| zEknC77TJ&Nb4i2Scc@cG$vY?rJZk8WK4w+d#0)q;RA=f+Puu`Fb+nfh1r@^c1Lfms z5&%QjA&qCS-=BC5<8=*5y&L(T{SxeRqnYn0pM(mbz6Yw?J_(P(g_N9SSFp1kRU7&t z0yOF*?e=C?h*6ti1yJm22ZHjHR91_5zxLL8C@olK_5T!PbZqBQoRm~4kjk~Z;Kw%Pxn3;vPejQs6@RKeD#+If%IEomGd6qUS z?~4`A(tH~+qCb3dl@<2MvQZ;Pxn)h+0@pr4rYuhpo_6=*%v!p@T=mH2|i7$&(!RpU|N_dB}tbKxU8?^bQ9L z`=pCU40#?bU3eCX4A%!bhCF4(AlMH(P~Ex@LgguN3r&=GexULWct4@SGWC*?JDC;7 z^$5YMSiHcX9`dzxzF&HVj53Pd!}_X&5v(3^DLvB)AX_|m*6iO?WeJp63yvzvB8Gb# zC%#l1BzR{Z2rJY$CmE1yi{?IOjpN4QQ#0E}3?J;9{PzNua#McMF*@HD$Ukz5 z*Sgm|gfvBrl{#dR0<7Z|ju^01QN4gV$RvtSXrsT-gt>ko;cQ4uUck9Mvk_1Yg=tdML(1)3)zMM zbwy2AuHR(Srcb~H{W=>tvVxAnS7A`MLmsORa=e<2Y(Yb8&E_0ix26(rFMDvYB(pNu zvO-s8)Tq&b0@M}ySMow48}9=L4Zy*{NM=3>Kamx*6x!rTc$X%Bv6b>lBPuI1qmM0G zyquM)8t@T00-Qh|wzgNU-3X}lv$dNb)!SI*L1^6Eh(lFe+2_M5=i*MPVsHtIRCuT% z-Pz~NwtMe;!hZO@|8l$Q>C}1K)^*6+hSOd-SgAzkh6%e6RRwJ}-M)3BQ=Y9j>Firn zK%P*Zs3Bx$r$c?p#TWa}08LFAvIj1GDqV{zbfg2rLe05rH=7%tTdzH+D&!1oI^rDESQMA6Vc;Zek8BKUx*b$e{`NkbX@rM9(h4=YT$_$ox%v|)=)n=-`;a-i&BJKC|uqS zc)>y@oKhP+Y$%i!ZpUCjUVh;fyYsF`0XH0N0CWSfZqdx7S5Q#G0B>g!z}^*YRfu4o zp$Sln+6Byz8x`WrLo1J>irrlpUqwK!HWI5IQLuZdW68-HncU=cTpndKamh(+eFJI{ zrSvVF-|XI1Z+*v%1L?*z7RSYY!g&Wt{m{Aq5W>8?%Nt1_&VaZALE}B5ZrfPp` z72AU3IjEY6Nt4MACZi_w{_^sRG4H&Ytv`d@#=PRnE7Ok6$f8jNX+|$FoAw%5I)JvM z?fMk>q%L=C+qQ1N3sbrEcNJ4M>NJaE5ftPqXNI0JW3oN- zEN-`l41n6&XhpD!>oGYqtYV~lDp|608LKUgR*mtzqIDac3P_1%I|cRdBTvG{GP6VJ zXe&sjjPF6!6qlBx@3RYM2ABhZdZ@&db0JXZpSVAKjl#RG5(u^Uq{xV&#i zA(VSEDI?*1C8#a{-AFF5ix_}Ono~6OnW+TT8!hLw1 z%k`=OIJu~*11KAsL}@fbg;KA+Z0E>;U80@>B+ZK3b~aH@>^;G1N)M=^wa^AouO^Jo zwJ?xch&QOXzk7<#!S;6^zf1tG99#3}pEul=E#A$z$zY2V-iz_SxO?+@meEJJ_nu+n zhEPB0+;!5f(!{20zF9659)`mKzhd%XR{+Cs(7-_eRS9*2v4NV5SY|}bOfKym&1|N{ zgv#z3b_^6SD9RZ?dyt_G1o&mepr7@}CA}!>is9u@;45v-n(aPz^O*=@s0XyMLYIhu}@&9pM92_qZoi>_r)Ki$YUoJO4$ z)LO?U>}|oLP+ml3$!1Wloq5KofX{mT$c=Vuk3BH3Sl(O^ND@r&`soLPm11QZzUMFwnNJMC0? zH7?@Ai&SU(1)H_2cWXnczkc$3aCi@o) z*;Z6r*Gb+)r9SG5Vy~hQ%|=D}=oUdewl%h3Z#)lExDB?8*>DKTq}fmjBLUV7lqJhc zVHr{zVP9)(Kt6jP0WAFPAjca*S5dnnLjv({)!AP z7uiQ{-atAsY$H@!UbYrHcs~scRoA>X@HjH_c8#YUwMX=l`x0_ zAIT3hGqEYfAj!+k0Bm!tD4+I7g(@o$_yJ^;TU?ZhCm9q|p>pc$n;3sA*#q2~l+&Ry zs4~tF_`XnSIeEx-P{b5vl97h}sPuLl(7(|7BDd7_rcIj|K==U6PJ^mqA1U6nMMd?2 zQjGC!GilEW6Glev3>3CsY4EK8a=KrX%xRWP`9>x0LNar=EEh-w}TZOhy?@H0tvL(Ut5F$VCP%b3X!T zjr-#j!U#HQzQ;i1oy~Sv;wI6vre1n!uh_7E(W;kU>%`s z*hW#*H{T{rhRtO>?1o+6wtWlbrCB?Js!*sw2wkt3Eig6UoCL)w07!Xxo;5-Jl@II( zg@u{k(!S18wE-I0d6}?{S%6;#vwt4yEyyH!z6*1{X|zpWfS?GLP}^Y&IB$nKZN$Kx z-l2sy6noj+3g~I&iTZ1sj64a6f{g+#q zIN%N|n3qi*@Y2kxbOtWBrcXb~)~>}p{FE_Jtx&8PgKgaSVZK7FmzB&$cF3fWE;*P0 z0w{&rX!1VPf_h9g6P^Uif{@K@3mP+Oh?Vrsv&9QvWhGih`Q+OWnWd@k$c}%+BE>S) zcK|B-Y&+DmH11Pml|d>H61=N#Nxnr&ftWzb<0CF{s*4pw!7*^oHwY$n>Y-HoL+M23 zXg0G#xNZ9$D8)`2Kcd8D%$f;zl4omHH{w$4BHun!hv*_Js}r`qh`xx$6xhKcb{I(O zU%nPKw&Y}Y2~Iw;0itruK8f-iHgpKACEq~uMcPK~Uthb&makZ4Z@uDe)Qg%QN3c?P zM;)-jO9)sLbm+}HDRvkvY6Sh{z(T(+ufv|BVaarYe@M+!GC!<_S6Y$v?kU(mvUq=i zQI1`3;brl24j#Ac^>O|F9Vdi&)=trlmY z5@gGPLLEZp&gR|LP`%6g7QnKz65B%i<-lIFV#mB1d**E|$eHl=vb_~A)$Fxv#_iOe zZ7dqtP@H$L2!L#<4R15`Rd{bfBoJ%cZgn*o%<#>m&2@(dt5&W@W;xtlX+f0Pfbs$+ zu4ZQT%}`itsdHasQH2qJ@!HJ*puC1RGY}i0Ry(2EcChmz6A%)hZ`lgl2D`ay2Xa-Y z_IhNdV%0?bDPK);QZLYk?|LQ#Z8O_hxtlg`vuakdr%as$P_5;2q(Hf>vf1aH1vOl4 zn>IXevrixBth^{*v2`&vEwgzP>|p}%J|uM>`h~F2so@%qp{D}`P*kpW1?3{}NX!hqDkkt8 ztC%({gnE{I?1lNy!`+$Q;$VC=+T+GosI+zC;}XsQ}S8 z$I=>d*wK zP{`7%gs`0*qW%QxY^-KrYCl?gJM1k~i@QW)7Hxq->ICSrvejl#Gb}g=WOr3%0CMfv zqThk+3;d0u8#F>#_T zL6R%VE|n;xtb&1jM??vDT+YXWs6-Z8Y-ok8ign-`tF8@`M*>)!ppHX6Hz1vQ!)imd z>zk_2xFV_OF-?GuRP+lMo(pXBowQgIDGo`JT2H9lb~4SmXGS?ZTuJLr*j2XzoN zy?tk@-EsFWSoE;~&Nh@(YitCnKBXmvw9kAf^b+155djlpUUnVdPwGPC@I5onz0>dc@N*)=6S0=l0T@7_{QT?4><{%and5z?S z?K>lI^Y-HVIQzs(D4;9$TvSL0RD?o03l7@mqV#H?y#j8^LzafXiC6**`M(sPNrpz9 zX?L$DPg8Nrm7Z0q?5H0CblCIMbVLJsU#~N!h&pybQ8m&wyLhJ6K7RV{Y8$V&z60Mz zbyi;x<)ol*?{!dp00@0+ZyVB52aoIVR7d1|n&fDc=)0ppinkqsNm_wy#S0UG8`!J2NO#)5lZYKIaz_%FEt%& zz|tS{4OHrDdxO5Q#=+|m{!RhwU`?I)ITFtk(=hY|CJqMlsjf%*jQY5GrgL><0YDTt z0vOFq0=f`asr6h(b@r$uM|;qs&hLiYG}8*01ZDyX4FIR&7#I#P8o&aFc-{_-uqWJg z>d*zdhIOd4%Av7v3?t`Fc=Rp*l&x3N3Mh9UbJPccZ={kP;9G%pA}(s04)m zP!Zxk0a_Sv0Dz3Zxh{~X6DS-F9d6o`8?nUjvq(C>0l4J5UICRK5h*I%)&8K!Jl#2m*aT zP@w97BML+B&3(0-;yB8D1eSx4r77*}>g}0R@27#-Wr#OI4XEkX= z^$0jn7S*Jp_V;fg(ASopLMXYR#EY&YN)C3-+e#v6)nDIT_264dGhPCEufOW9c2IeT z6a2%)6C7^Z!zt(R<0b*?@Z0PJNzvO*r9nuii_n9*3w+`~24F-v=~{zQAfdqsa5(j& z&Z^WJ=mIyc1ujlK5x)bOkg|@0U<8T{T*HznKc)5AT&xB_;_MR#rx+q&G=YZzP<3+l zNrPS9aYTiX19>^HIf#fV5rw0A#pOcOMT1m8=l}zukl-F3=@jJF*zMU}?uC`u(tOa2a6s-J?6`tcsBx7aXI zoALLISZ`6t-1CJTfjCs8#}~CJl?MPT?ckOvR8sFlV!!F0D%89C{R3ZX@(=|t<<;X6 zl7MwQTKTw@(Y-Sseh2KTDp`4MWO1-uB5Fs2TJa(ZCQx~P&j)rKAh;1~81mG0I9L2b zg($uT3BcnZ73CNKfpSt=0uE7KI)5{S&}xVKE{D^yhnx4_`04}4i%m=d*4}piJ3H$> z2twx$_rtZCMfY?ap6Q-KVw~vCf&ccDHrxyOgzKJi32}OQcCdQ~%TwtNmG7a_AFS-2 zo+Xx-n0HV2bx&~;pY@dIvAg&BmcIXcB>`*yHc8$&DlHHO* zO}0R?1(JZ3>;uUbNVdQmrUjCK^@jOW$%-ahAlU**z)JRkWDC4bEzpym{_9kgH%zff zP4_pyP*-_}0AlO|Px4aRqdt2U{AzpGX z;hW(rCf}i}1rh*nix;wF^woYNg(g5I!W|_O`3=79$VM$HyE>DCdz!AF*lp@Eb5-Kv&s%cHh1C z+9j8~#r@L%H^JOJgE#4Q zQik;={jh(Jucbk*uyf~5yY9MA*_JK1F~dX9k|m4nqaXdKd+gTp;2k*}bx&z^9e%o} zkQhhTp^We>#P1$Y&qH|hkw@`F^Ne3Ao`U=>a@gKN;{d)WC zXaApH%froSr=9Meqy$!p<%jc-SGW$xa9_u8FC4o+^TPNO?(4dHy2NK8{;@tLDXe4N z!pZ!Pdke_jo5B~r_)pdc&&>g{JWa!E)~vCg|NIwStd~4bD+Crz15fAS+8@UM1a>V9 z&crz3Sz`QJXlFQYU#3SLpt%dzx^4 ztdB{+I@T?m%>THzfCiUB3yydbj{>s#`g*(NmRqd0w$^6Mm|?SL&vy4!D_5=n3>HH1 zwAq+3V{pJa-2v?Br=K=aITI&N^t@hp;RSpG=DU;N7hinQ)~#EIw;BOpjQnQe(tgN3 zR7n+n4PSZXWhkmG_VUXw+Zkt^VU>8}{>e{%?D=iqzP*dp^BW0&1ULeiva&L}`|i8q zbxpBFi9>sZTsXh1Hb5>GPf|vA5aR}Sj>nsq@ z%F432_%NJ#>ZvyS?AbWANGG2~__(aI(@)1sJ7BeT?OIbEbuIS#si&U8G4V_Oo>yFP z1%4lougk9_OW5`4lP7Ps76_C=s(baucrpW0GNEQ1!fH_e+rRy*{on`R_t&03e?FAU z)$W*AKqhcexbemx`k>ai?w!iuR(gdy?)al!ef8DIla|>#-tkUbxpJl77hnd^lss+1 zgo*a`uYcWcy6IQ`{D~)?uv1Q%VITU?2W|E0)qW3jjE>#@hIg1f`y7Arm9PA(18Ru& z{qKF>-ul)nY~a9w_RC-X!Y;n}V%xTDo7eHO%PzMMeBk}~63nw(Z@t;x{hq6VRlHYI zrwcE<&~Cf!HV3o|&cDF^_kVBlEa%Rh>-p$H`HUPn(g9Z2H{N)oU3Ae!HhAzL2hdRW z@UDt;%malbL$U?l6fJ&`TD8jKUVH5)V|U+ucMR&w<0{`*Uwze|{mZ|6 zCHDK@|K87M&O9Xs5c#?45$baL?YG5lxZ(QP`t|EP{WH(Zja_iT`7x-J*spH-RqXSh z|GcNsAEkk!>beg1bW|Nw_Q;VHF<4BG1C`|WmMvT6*U0YtdclGPF(}Bcb3Fv8yRKDs zc6Le(O3Kqgf%&tBhKAVff4DvN-S2)kwsq^)SYDp|Zd(j0Gqz*Lc0XUSVuhyxw7m?! zIF5gk6c%438Q%CUz?kWhQHfQ%?z(GXgZlZ4Fm?6VZ-g)-~JXX;hEOIe}D3s=EwQ-UvR2Uam50PqEdQ=Aa4RZTxKQe=l$>h zp#AWNH~RC&MtM0h&qqhGt^iu(WHz41z<~qwh}F>|uX}xc9SafdP-X9M)>h934<4)o zZtR=zvYli1-18TkK5YtA*YE9vAN+u`)(s6&S%U@*^0<2Mzz+J2&drG<5#(!wpV+i% zC&%u(>n?w91m>RRp@$ywYvf^m4e7{RpoqY0?*kzYp>gkbl zd0hPw5S8~YaduMI0>iI;?Q1cCroxsjn>~$KyVkZgKfnF<+x?kfoLtFEs0dmsP!$Ee?AKfnL}`#p=No_f-+1%gU9`|Pu0 z$lv_g%{Si+c;6X{ihbe}*Tn9-?>@gq&KDa$eq3zup#Gk2)v72>V1K(39mA6(U>(E8 zO=f+3S|9*c7^KfU^NfQ-O-+rPKbh znpHUeRa6X#iBb|}BYBZPNP|Cso8k*}lwSZJm8H0$z8#$%{#+tpXU%im+&x^*#FOWpPm-d7jO zNBJq=5ENGcn;0)VJ08a*U>%QEPL^>@TObT%fx$%=T^L)weEELmNr-&*S!c#rqTlCP zNW0HXE)wr2o-3Y!>&`pxh$T`zigV|kcg8ks*x*@pPvbXx`KPC}J>64w9hBQsV1>^C zl$E@$$8At?3J*X0us=(rjJoIF{aWdIx~KccGbHyCGA$;nV zkloqQ;lkr=1n@zytz%Fd=!zR}ip2ZjT#pp5`*kQIC?=@XuJaz^cTXR#Lmk3(C{M@4 zd*Ob#r*xrSQd>yH>ot^-s~%i-*;`RvC~*P4;_Jr>s3GTaL4L?1978@TL&58$bkU_> zhd3ck{LyhaBmwKVG;^|$6R`!l55~i(qo*Z7;-Ky6-r?kVJmLnhIUZ#li!zdG4acHY zlX<>wEf7GXrwZtqe%f-PAg~eTaIiEUv4=mNmh_3Cr+bOdkK{bDj)~_7%M%uEzsIVh zw?Plj_KSQ1-be!03HVWxReJ3$paFTXpy%3hqCtx=nW+1+cn3@SdOSl!<;4b|(M32v z6ma#lmoTJ1&@(UW1pbrUOLzi*oMhFGUJGb&YoJTn@~?mWi`{nn?Y4c}Rx2$n!xF;h ze5*<*EyNAiM|u>cBY;3d-v0Z)f949Nsz+{au5X+9!WX{io0}8M4d>O>Rrb&S{7-i6 zb=SFx8r4Pn3RhrW=8N2yJuSsm(EA;3NR+qrHf^X|Z{r`$cuBxInys14>x689Fu(*7 zpZe5wY<|AgP>^&DbnOFt@PP;HoO8}`APL~2G$CCgMH48K5Kk|xcnXTA`#San9=mt% zMtl6%_FL>*<+Evc)25Af{q;B4r$2MOrw`?YymT$?^^+z~M)Q2S=Pj*w?OT-AyTFQ- zzI`<09e`9mnJB$}s{*+g{08^c(ru0oY$=d0=Tg6 zkF725x9vN&TX|V|SADc4MJtQJ>`d2#L!9(>(1!A#eDX>A%xA8%mtR?68R;3e6$)?A zz(H;sOWR`-!9hibYgu5>Hkd#D@sDo#LC?O&rswcn*S!q~OVj;XxJHl6+a`c;xUVu3 z&wE>kBZ-%!u#Tkll4(!)7SMnag@F!|{m=jW66>9}I#`J^2^5B`kt(3ag$0A(8=Bo% zVR*MwFS6X!LGpC~05Rf8L_t(Adh}?w`Y97FZ2ht9>@2(X+UvTkCalB6%&&g+E36=F zvbVqE9k!c2hF4s1rJIFO`61}MvU0mMH8xpBVTLs~MOGj7#t1Q^qv|KHlR29?bDpxZ zF#$7u`pH;R{hLS7b5U(y4Uum6l2_EVivn5FEGn}9_C z{mD;WYq#HaJMZz2yl<*iR8;svgP#B6KYq;ZTz&aVU$*am|GV~`?|j$u*JkZ|?zz{` zWgAO2z69+MgD!5<1shGYx8X!-2Z2gAyv0#El zR9V>cEK@b2lw^%Tagl3vy|O4DR4M%afBeUfyY8=L!?NNA3JVz<)KNq|1*%Mx-Wg|{ z9{c|Hzvt<+=~!uG@IXmgfm2AMM-4LuqkF2+>YON&;lqcx5xg6& zzutcQ;~%-5bQ!ag&{=|MnH#?H$}8NP&1XOR*)C&#YFSZl0EU+>*-;2tS=shJC_YhT zk~!US%m2CgVm)Wvdo<~84I4Jhp7+`0*p0+S00{f{KOBwW(95dL1sf;6hKM zHhlKk7hFDe!3F0zr6&g;3VL?DLQ<{ac(ru0oY%bt1Oj1jiN(Q)A1qL=vxbS!pr~A- zGu)GFE$KtaX1i7lp?bP3jfObw?QPZydzcGLC4dWTU{5a{(l{v-XZuty)hV!PA)W4t zZPoLTPR{}$>6z|J$4s`{L)lP%zDl`y^Co9s6+f_W*u%1){`9AI=bd-O+aO}|l#kv` z02<0texc36QGl17lij7X8t#WWAIoD>t>IXFN1izc4t%Q3F3Q zXwWJM6cl70IK&NkrlVJ-pFrq9V5Kw)VStM+38s{FzdKkZ-V5dFo`Qf%A>0pj4ta+< zH=#PA`sfz`QJ#VBzWdLBTZhZ666=)+R?0u|T|!w>vry36g!25xarl!2tmDwg$s$gi z76=0@3{pm1*Pu&$7S2_34bUf^e8M&3bsYw-&J`3lK(db;=T!Ja8r_k8!J+#eW1 z+yj+eSXgL}Kk>LzSt0KLa#E3yKs{XRd8k{+H?iz+o){-FO?ZAhj!BmAc(ih|j5kdS z9Be?Js4wZ1P`q+)#QG<;Yf|W**c!?6WD6Xi1;k>#(FVKMnfFN)i3f@-u#5+I-4ph9 zlEOM+KTWb?$rebqK=&3%Qdr$vBzc`|fn*Duuq}`TtP}RrBrBF|fn*DGZ-FFWb#Ia6 zb+QGLEpWoNKoYP{*iVzJSh59@EzrFMUKc$qt+pI21bvT##YsL(wm`B4{{C9vxB*rG zDS=UM04Xv4-`{6RzHzbzk}c3v3mkL6a^Oh-sdn}>)zmdvV^f>!wY0QGn>4etGtd`_ zS#EZw^(n|f3pe6cBVugt9`2p zh50#b;*2&|ven6|Y8tJnx!u}3+TD9?SxJEv7e)KJ67%}|_%zA4OtwI>1-iGuF#)W^ ztpL@v4YqM>6}#9ot*{{5%8CoU4m)<&vYE8mDu$H#_0#iL+G*29vI9KY)LC8EXnU#w zu%=cUIEe}J?csSVeFypWUA1=KyfwCE+a7CXC;3Z@H&{_Yt`!w#*?{sw+qkXD)@|C= zwZ-5NGW$3GS4+NOvIYK5Ti~ctSOOBnRW6%aykd*>E6%t6Wqkme6np%+6($z1zM;ux zO&w|5Dyu9rBi(Y4S+%!gq83|1X{jmJw@<#+);A%`%CPxMHrQ_1$ji@}V(Yi;wpwIg zlgADAPZi2K-0vnp?FsOr#ehTSrnJhVdq}133YZZ0V{h`?w=PN-<&i>OI+J-`zk?CB zsM6HEE0z~?z&{?3bY1oJ4EILYcM9zK-n%`Yg?CDPdN}D5)Ai=uTQJ_ny{%(!@>QJB z=HYwwHeE8_iO~W_6|nS5>JYCi*=WNDm)P1ZyDT3-8aHyFi8b5__>}g|xAjm<%U18S z^_#1#uA$X!?&RgB+sL7PZFEJcojhTfRn;}vW6!U)(!y*z=hSiDT03^v*pAA2Kx@!z zYCYBMU;{v7L<8|a;ZV=_O&0;TS z6YJPx1rGD3>LW;&EBVBL8wtPcem^nJYdJsK^?xmONTxZw7C35v6+ZqVD67H!i=dS9 z?2%`d+MHK5*@v$@*(wJ2vnS`Ru>bkf0=wh+-Pnz#6XqkY%A~^TkIfiK+s%q$UW&cz z%zpOqw@8EemKG0`zOAjpmaUTOC;7D#1*1g-v+>j?Jw4T4Ua-L$kh`5atpdx9 zltD)O4!;Q0Rqy(S7UHk-qO!9x9W1pVF=5<5%g)NMrtORH<Ur1PDhAEwPf~f~frNFX)vyyg%XlC{;5n$D*c11r-(M zAlKY0xk#k;)CK|D)DFr+LHG1bal?7YzpA#$UR=1zs_I+qU6)LXn#@xr|GW`e;HaoI zgwC*W>u!J`oq-W`u=7tFZ(}RU7|3b%sc-znetFXdv*8)I`Cx?0QAYt9-AwT-Q|WqXwk>MyS* z2dA34e}un1w%k7Rk8{mtVUJqns|DsY*sp%uWFLS38Hdd8zDE|?+b?^}>>OS|AgjST zuioar`W`G%X4s5rf8s``Jv!$KJO8Zl7So_{Drav^G^~{D{>K*EyRUr6?DPV&HjOg! zd!fk|F8_#~)W6DVAD?U~V0i3|`>lP?YHMC}y`^T6kPJc|o{=)sjS$GDlP1o-YKtvc z)@UDi7xkS<8FGA*&9`~B(LVTvP4@F|yw&n@Wj#{^JS2{e8tej$g-bWvl&kMHDkqyCe!Sa&;8jJZE3b?v-{YoWBc0R(gK%T zb-26-JJNKhc`J6=QwwTf3v=wGLS$Pr3hjG;+F;KtyVHLEoy+aLmrk}J1NwPeju>2Q zOQ3Qj6U#sjb~phKaez&c%^sR-Pi=0r(UdjtgL!t%f4^cgPabMhCJynLIdpdAEmaJe zie0sKr@j3r&)aGL(AVZwV%Ix6&CVQ)w>*0)C&j5CMehz0A&=f3Lwhtfx7l}o zHP^oTzy>BW>e3Xm;k4t{1(o)b+t%9!vrFyI-@n{mUc8BgoEpA+nq73}Xee;mBd0xS z@5i29?P@Y3q5ft~9cl|#Y_q>TwF)XN+dlTLnfBZJ7Tcmd&Gwc{OYMwl!@Y{#zfW)L zos9STw7}tw_MYk(pPn~E@$~JJO()sntjBJ2s`ic4B93J@TsHoY|e%z`{cLox7&aCPHSpu zwWo+PY(O6?MWDZVdo^kihvzEWXXJ(s+tbux-+kK{Td|?a{<>_B^(#rYAN=pLcGGvR zic=lYtgZM0tA@rFyYZ&EmYW8+R>th7@0sL_1K)XQ9h90HM(ha`2m{SBBV9Ay<*Ex; zbREJYb5__hYiga^y!jK;VN28OeLtTM6+>CIb%5R!%Rdv!sI|_rM_pv;WizZf4IoNI z!8TokR5`~3r(TZicJF!FIvdtgDB$TJ#FOTjt*L6Y51fksJO=s?p4e!o(6;~Zv-x)N zq=7aLb~b=ilw*|l?SFa6?tH1za{8p(b*B%6B`>ib+`W`{>^LAB8LHIVquNZR{rvX% z_T5J}+B87>;wfeJjtjhpemc%?eu}!_O~ai?Dv13 zZ&zM26`_5e?XGEty6R(9wT%E@8-pD-vzI|!poVvf!KQxbEMz5(cI$`luvw)UcKg#i z?4o;Lu@Ap{R#$F#T?2^H>`(W-VmCdp*@^+S4^8iHpZVz7_V_c)t?AdxUGCN?1z53u z0xkZFa!}y3D+Km++m0Ih&pQ{}(6S6G&C9W?ub6Ht*KKF8fqYPH%0?k~#Ccum)5<1T zcGAD(U7no{gb*PX|A#%24>$kj3gGSU0(E#&ae z0!Y5JWQ%?AzO^=~D8t@;<`DbrhfcE$fcwFxSK9Ah+F_TB>SG_hYO>{KrCEM%1~R@p z8{03-{@;ee>S+_RbklzFY7f!wR#)ThAV8-eyBB>p7cjXkNOV zMj6j7+-ws@mLd-=^!GhdD)I2&JelIPw!mIK;5FnB2Bq|<`oaQA>2vbfL3ZW2C)rjg zuFwB`shv7WV1*0;`N#I=4x2D2-!3?FqP=DIM4Q>4!Fo=K{l5>4w0oXh$#$IBQN~?M`zh~7C5Gt zWZO;mZ??Zaw9wN=tgqPBdi(0npRtpMX4y+?o9(9SPjeNOI@C?z4ZS*^;0XSxeFEG4 z$h>t{#?-0pS^sd^Ne))ct?l;Ea~rINV>JTu(L;;9v^FU3N+|H%^r@L88TO@LK4;(g z-77YWiEUSXyKQOd^lzcaqlcH;6+`l^nm#nSEZh1QXW2a1+HX9v(XRaJL-yk0%}y1E zwmO2>NhUo`EpSADdPnFRp*VjHhi#2I69)d02T}%ZE=)ws)+mdJ-*b2l=roXqX&7X z%wwf509L5Wf!i%YtTsSM6=GO>XI1=5&!1=?xp1J(-`#5c`=!|rZ+h11kl#rDwg-X# z*MBzG#+9bqi))(fx1T!IMxh9+kf9fI00f{o>NFyc_NP7sXad1aTlUy@?p$gW#c6i- zh(bH>jM1K#H;@`BF)41NB!hC0g1wWR$$wBl&hF`&^XP}HN+AsyS`3y_0xyDOi@vzP zc>suMCk?j$`q)V}XJwt8J}J-M`?Hr=3EbiPH|4+XrrYM(;~Q#iQB9kD`5hzeg0seW zUXjbEsE%Ugk1Ry1m9H+}X0NQ;V>-@LD`YE|CL8JM z?E$pL3y%j~_M2CIBWo*>>}n7q{E! zewo(H%1>fYNB0gz+F8taKYM7So&Mgx+6DjexMkogu7+9sk)@SZ0qNdC2UXW=B9Ecv znf8}wx4?4lvFBc0Yxh6Bm<|T`FleLwh>4}_dmb=Ac;K6@8QVG!tUx}4;jqWa~@owAE(qW%@%P@P(`4hMw*K?y;n}ROD6SoR^8G&?Z*F| z>umj;=T_Ul{Cb(qEN7PiY8jtIMif}?sJ)a&^lqNnYxtwKQC=OfRQuOo&$q36nrs*= z$C@B&nfw$Mui9Y?s#>grc+Lu)>wP;>*rc}R=S-5dw=eY&)O?Xx00_WgGip{36p8YqiX}iYLmY7ZA*7yO4%qELJVyTA4@nk5&fPZ`@_yx@)eB}>gLh3%`{7c$huDoBS6R3Xy(N9rOS zZ4L_ljQqWR#1XKTAawrckDhAhe(E7RV`85D`Xwfq|9RY=U%CTD-z}-2GXN6@VU@!>N*C)$!Y16S*8?tfu&K{fb=X<`vhB7x8}0E|x7lSg2ibx(du#w~ z`+1{^Y|`k6q74&_WN4MOt#%r#y8rop#1T+ZGbRnPAKm_nv#k2uA&ef@&#oF(Xn$B% zWslC^Xy?uv2~|J9e)WafcGY*D@^<^p-xga*0lhNTWKkrdWW~(<3zj$8AFiKaqep7s#JW@|T;WoMdPQLgmA3+i zE(%Mx;uX91ktMdRuEkcdYWm45$6Fbzqambch;$I(#qVggS6bmhtetxEj=Gk7N>QLJ z(GKM|$Wn67N>z*q82F zVJmku+HzLf{`s9FU71!11y%}a9Gwm3Qb6PN@F$1+C&jXJ3lXBH*f-ug#BMwv%N?n8 z_EJrY{cTgTU3dE`TezXl#zO7xM7Fnf({3-o+cXr)tF+Pg-h;Z!#jvc+Oq_ug47Jhs z$j{BRbEXckb{g}sC3~RI>;3WgiemfW)kE#J_mGOy*!l4J)_LzQ3ID)oF{CvcWkojJ)oz8x0!CQ&w)Nx4R!+%Bo@mq8FC+ zFBxx_%&4>n*RlNumaqxXh>yFjOsZ-cteE|VeG7S}LG5IG_gG!g_d9W*O4+wll7-7K zWXk4WJ~qqFy7qn>#7fkuLvm4JXtGaSKE$rLaI)vMc73JIMeb3JjBDk(JvI?x?~+%M z88ILnV3fD26E8x8OqAGaHiBROr{y*YL2*NSs$DdDtnI9{Q}{l{;-XZ14IF z%@%8GwX~t3E5}Sw^;hmQcx3^u~XkXf1X-nC% z_58A0dw4^OHO4Y*Ji1s?ZdFiv^_xMgq-F!~desngn!~*u6k~(tzT)d+%$_mA?Ds3| z)!hyD%ED!q-hu8LO3uXv=~kQ0%Hon{TeEeS{b^~HJ^8H*Z1|ue-Y=qxL;lI*iO~W_ z09errr~`$LBRwe%)(maoI0(n!-H47`g+A0z@7m}Jl363O?Z)u~?bh#%uuDICmu;_U zwLS<(+XL02;@X%oVLvzTsIpgO?BUqrYE7}ve)J5>LBOx@_%o}~h=0QD>>}41pM{(*Qt(uMytG~}A*dJ)oV)Hv z9RP}8@wS-V{Fzg%ltqeP-}bD1?0s_(=H&zMX*R1o+txd=s7z8VZ_FiKfSr+7W*L*N zPRKc&c3SllYuLK880u;WWuYdbpmO!k>l1(1gt}=^ivrR1od39HhP~}8PueBZi|oPq zb$0KK=UTv6mF`|q~zetf1~{HaH6z@&VZwIeFa2Oj$j z3-WWJZW?VcIy5`>)LRxSXP6Gg2v3Eb8d3S`kYOK7%umKm4v4cI%Uy?5W>u zw>$oNk&T5F4BGD52y5-kB0C!}tAcgxaM@G5V2#M|i)Sn#T()u>?A9uq0=RXc7IE=8 zbRq9)_5$K6Ew zDvA_=cFZn0cYCH$J9a^l@(tcPq`*P*!5z)^J=iuW1h3s(ZLcn?vuaqu_grxnvZWMz_0>hrmi8Y| zZf`kt(Eo4mx?}6A?(paL9KYw8c#qf_c9!jg3_D@DnPMaaP=N+g+EO9v*s{YeK zDqTQz^iklv0n2@$J{oQI_je#OJrUck za-2!$(6$MMergCElZNpox2aECtNff4qx_?6kJEn7L)n2b5rr` z@3*4%C+)bLN(56PIAF=_KpUWL3h(<^-*4nQYC(XYzfN+G1d2~QOFJiO;y$8#(hhQ0 zx!L5-m<(4(x1275yR@l(X&gBU2V``}bC=K>-RQAu2S=-GXI)nwQ?LW3l+(S@Yfb^D zn#dvhr~7`e0oQLRLivihW-F+zzS6J&t3%^uAGt+OkSvkgUeV57*lIr58&fCJkK4^t z_3h?`)0&UXRr!=>(}7R31Kt>KZ?;+pvOh*c|TcFOTlQ-M77j$NqaA zwr+4=7<`m8uaOga3tf9o*0#~Gb`C`j3>L{gx&4ha9((=c1bhm;r+}(`7#Kd(7)MRVr*jowYv>=`G zM(r-M2zNZe1A&Ywp#{w(%Xg(u4%OnmT}QDpHx2=E3)ieKHrJh>(N?%PSWi{W|FP>K zg~JY2#<`a=&OURB8gMpcoc5e^C(r$L$D7R;!L=Kgn#(FTYH%7X=-i3FYKmhV;4{^h z(xZnn$z<-uvd3x&ShT+H3BJh|ay#s!4AV`Dnt|K$&(i#+r_DlQS^(u~Wc&`TUR5lugkZicB zTYB((0|oA_5@&dDd`Ff6tnn%8_R#*lSQMBRV3~={g%-<6+tL=GC3P=8q{Hdbg*o{8 zmJ;mv*9%Bo>obB}GeAyugA>mBF_zsD{3@AkU64E1Vu`2qw|Vt4FHst_gFU$Qfl{M| z{P#2a@YPMrC~)_3aw+Tsriif6Pjs5-dC7`zOJ4NseG-E#<;vIT<=qALw4R+9rVhHmJi>Vpx z!y9{#^X1^dlHwA~p1TlrjcrKv_oAVu0Rx?sb8*b-WW<9K|Xs6xzA%x@P_2;B6*a;SL~}f2k5 zcs+&(>bS*bh$3GXihLY%paq^l9mh?NiJ>@pE>UP+Pc7qsk7f$9DL0KrzPB4)ErV!2 zG81u}4;ZfH%PxjCT+~;0o}zqffc<8DYCngDbC4KYfOurkC^-#-G3n^^q@g`F9bSJ1 z;uF%~qq#*^b{;=|lEm>j03JRTdw%gKFfG6`Z({a+CgZQ4X596HoHT~{=wVcaJ|t1A z_PZZ$KACzDl?FQ-2!bPsP0GPw$0_t4rM8aN>tlmuWm@|Wcb#OPQh6MVM>E#5kRx?-w8y6X z$@~b|g_KS=Ri8(EK8_4)D(yKfbe?z^t%vVL3Qbc|d;uhL>*n!fb8DH#Ig>=DS|PI& zO}~9{oHs)Yc~1o&TG$M0;}@np;|tQu-&V5ASit%3qtJ zhXT_AEHeye1{oKVW@_!0Ey|^8n3IqbW-h}dKl_iXu;r!~P<|~{)>&~le5wQY{qZ?t zzm-ahbw!s9(3^fHZGU7AvFn9TIC(udQP;_MNItx^yA``1+rqXojm7nf;yLEFmHdcB z0k>C93NEDJ*+hS&>P{is&$&JI1 zd;!e>X#Cj5UF+tJa_M0TK~`hv$hP9t7D2!<$|P{n_G*MKHf=9&qa9W{k}DoU&%vGO zKmGu`K8}B+Sq16AQ*4$7N;**=Cl4@gHx#PjAMi?~*%6tQ5OKi)Y=W8*w=l`-VdWnUFb8!7sQlAH|sK^G{3B8@uTYE9(gcX3QKU_oeN$mzwAS z$~)tzNd%6ZioufkG=M$gLERZIPBjdnxord|>*dAZ$H|&LWG~u}5dxO6F`n!&Cr1oh zY8n)nHel)A?iObeHERc}>X1jdQUfDu%3UHrSDlRvmHg>bY542T_wnF=P8u#GpNljv z&*v;cwjnV|0~bVf1D#(9V)xxqh`Y93ih{fhPR; zClP+cEr_|$0=x5T%gy#;>4J<9*OrDo5^#U>xB28!v$&P1VBo%= z(s+Fqd~?>rJ8Lm_f0UCkc%Qh3@MK01{82e1P&Lb-=JY{TH+bTyaH-#lF{GOi8#_Hg+Mru-Uh=1eNt3n zYgsDgpqZb&*1+>F)Vv$dcyK>1QU~#uRy0uy7Zsr7k-Nh*r3^_o{ zj!M_`5ewIkO>N5|TtI3<%8(QEGvBT2Ht(KGai_RjDyyW3pvHTE$K=IAew9156goC{ z%NBP=N`}=e!>ZL46krABn%FIigt1ykxg}BWm z4Y;EnBCO^Pm^C0Rg-rr$*mt=$HANN0n`PHbL&i0UOjX>XL`&2^4z`THWpgUy{5mx zn}*&y^fIN|&|!ohtDZaT@VwCL6P24xUU;8W$EN6_zy$>? z4b7d%o!q)rF_>Q_!d7XZ5M2ju!mW@(F!1c!7DjF5GVJo*G zipWsg$S=px$yi#*8iuSXp^J>d$V}HUHFVOCc3=tzTEqtJ%rfFh5OCclM1#;Y(Y7_L z=#&98^gf^Gu`?#YgG0Tk0=?nA(bYdHjwY1@lT>6gH;^olHOE*PRtPbWvfhv6#@EiSPEDQe5ok#xdE0-#ZZt@WKhYsWG$|!mjMEl8$#JnaFY9k zxF@+u2-p+_LMgNjw_W?!QovH+%SVCF9k4`FM@}=wak*rc;i1CsYLlUO-qMbRCIOW= zum6{AQ)u7qv88~ez=fy47XnzJ-F84oa-g%pNo9UDv zU`5#jvE8v0uoQ?)0Sj1>X=8IN1uO-ki~<(0qU?d#?pO*~3Ph%W1+2)lu{o9kmI6^m z0Sj1B_CRcRECnnDB2&NuR%F`P97_R9fhePZ1*|B0AhtV}0+s@iDPRFBGHq;*rGTYC zlu^I}R+K#u+Z{^*OM%D~uz(erHa5pnz)~Q}C}06A${vXAj-`O5Kx7L14@RLII>@v3 QCIA2c07*qoM6N<$f-+sP5dZ)H literal 0 HcmV?d00001 diff --git a/.pic/Other/Further readings/manga.jpg b/.pic/Other/Further readings/manga.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0d7932029f2669be0808a5929f64f6c01974ae75 GIT binary patch literal 90682 zcmbTdcR*9kw>BD@bP-|n9&74o0w^c|0B!OM zaDf6OXau=B0RVb>0C4~SKnI|qU;|K*k0{7500l3A=HFug;4uZ?{~Q}p-26`+N&q0* z6+r!;I+oobirZ8aHvp8Z z6jZDf7o7kgSr!e&zvXYGzlDO5ikjvUEgd}rBe_D&6#yj#6%{2l6%7qFSrv*f^6vm@ zRvNbJ(hn}N8`{y{@VR;~JSB%t=wU@0htVijSmuRq1U&;M7dH>Dh^W}jTjKX+<>VC< zmDC?;XliNeJbq?uVrph?VQKH+=;Z9;>gMMk@G3AUIONUS$f)R;*tpcR_vs%pK7Pvl zmYbLVy`ZqDxU#CcrnauWp|QQAv#YzOx37O}d}4BHdS-SGg~qI|t#AC^+`=6k9vz>Y z;{Tle#YJZ2zhIHy{~vL&l5tT|Q&UmX{>4Q>8Tc1CD>cn^=}T-63~B9r*l*kmr@Q(v zC8wf|UP#6W%kjc@lz~(DK1u}l7uvtb{@($M`2U6Me}Mfju6cku*}eX+LP<$Q`L|G$ zEks2_P4m}Ae~ovEhKBYMHT5NWvK{{xnoINy^mGh=PcFzp{z~}Uiu^}MZuM`K|4(lh zi)80wznB9sQBjbciHa2f0vy8Ywtz=hCO}wGeUf-`-Ii*NfI8^{(02joGO?8S!w&y- z0Vv)t84K}6)YqJx>yjeOE&zB|u?ql)*8K7H3xG5q<^tfkdI3NeBZ_u4#N>bWos|LC zz&_5qXUXT(QWpU14YKGAg1X^;;qnDw`40$RT&y^JzkWkt^RNYV{8;(mM(>0yD_Iod z;RV2(4TdugLmCJJcbU~UPd@!yyJvI%!FE!$A80dr(&YkhgAlJ}FriQE3}7MBrCk8x z@(8c;C*0)8nz4)FCq0tEq@Oo=R_(8*>w|u&73lsk^w_dY;UTSQ3C~Ut4Z4iFd1eb%q|u3JVy7&SNdH%MEJ8} zrdDB&UM%WXKpY_+>4ip63)^07ldefG4=W44H%&rtCf}9BC=i&Es0I zBU(-a8;uURs*>j#rEC{%0sm#e3!gWPmWdNF zJf)4yC6=81;cQthV)+xZMN3+8xB&2i>$X5-%d?y}|BK7up3ege@i<5C=i46>8M7J) zvSX0V@vjacta=yFjf!AATG)c7^qlmDPs*dW!!Kj!OhX&>yp~xB$dPl0e&NvXh3x3KK2> zox}fYKTMKK(MfWHJ|o$OT$Z=MM{E;(q$Od@aXF#gGW~4EhN{R-kxbJ@bu^y+y!bF= zmv~RRvH?S~g6+gxJ}ce}Y8c|osIuK!KKl*37&$n*`3;#od{&XP9+f7TA&1CQFI!kP z|D7C2xvcNbo$BKGB!0bFJIIw&b>}Nq8!)}dTenkzy#ScwH?Zue{pcDPA4HCP9(Tkz z%&}dYE#We6h^>wH*jsl0TxfuFse9YcdSK_&;mqfb0aLiOu#FJiQ8wV77S62h7KXZ? z7P2;?ik(t0&zkfU>vvR?{VQw~H?O|qPB}eB>>_g^cSm=dm9arVUEV1fbMzx@t}7Puo@iUZ{F;Oc5UXturRqOg$;fB zUenrAJrO{ymF;mI&}FZ}b$dH9dEJ>$+J?3RHWQ#G64U|4LSxZ3HkdJzl$$Nh&j}`R zAw9P7r|z**bV6>!>vU-TUCEo68t)*)$@0j)iXD!x(~=FM83AHlCMw}LdS=QU##v52 zr1&0sjt|TYGnIW_o8!>Rhs2~CDRe%c9D;Kq%#lKo||7d0C(%ar!X)z#(I*?oG z^e=1?fOdw!lAsdMN%-YuG|zac3f28ax#U;4uW8m(j*074b#|wkFJfmW|Bd{QAw-owLk}qEX z+SHF=H2CSxx)#>aV`V9(plEbiK|%mhUcDwY*k5k!MQwfMfa75QqUPA`H}Ko9%ihG* zkM;q@JzbFt(<{f$a$@&!PMMvLzbGCIkp|VQy8OCok3#AXHSWyqK}t}|(a5Uq0)j-4 zJ9=^v*;A4n8weF0Zx#t~ry0twHC)#7$hdc3`UlgAdB9lV|EYv7fEG&&p{(eqJ%l&UE4J=i0|t{x@)iLSFdP<(c;8TzT(ZwAYgnB zF22nfGdUecG(@qmy0X^A>`QJ`V<%5?T$!Zrd|^;ld4>Dvus{L$qzlkdSbbYL+ofq; zJfw7LxxBB2;L=L^Q9t)F;a-`Dk?g{=K*OxH6KJeN4bt6uttNSv;oKYKe$Lk>9n{lv zhV0mVrXH;xuUk!cl-ZTO8liqaMKkXQXQk#Jy{{Z%)B-tBHfRqoymLPaN{^3!*`hpB zJ#dKblhtj@ud;L>@lvbAQj7B}o#!?bqu% zuTH)g_ZCTQW4BEy2+yrM1Z3q6bgxxsnj7l^%jH6#=-X4#UYjak zU#}>WXuf-QwFg7t-4}q_XcSAk{_JV@(#`Rs{dlGQ0-1B-kpX*j}0bs5`?Q=ocqF1;&nI$ZL;QfH@W%3IHa zZb9d;kNVUu;c5Oq3j>GGO?mu%5%zkMb+TUwOIyp4dm;ROF}NE%-{lGN@EP&=S<6A+ zo4Q#S0MWI|FsKu-9X1O>)y0qiOAsCW7aVlIEn9w~8HCzrb!oIoikIC#(M!rNis#df zUp2k-!0)=IcL2@`E#_{86D=Qb^bMgg*^zafi2H+5XyM^lUKGn zS)sv+FXGAQz(bw{qVKg7@fvhpN|eqowX=4H9FFCqx|b?`%=h1qYx{McU%a~a%@d9otyLk z*X%~i)JS}8;eJPaF-PTPgws;k{?5RP0PI8u3+n+zXGV8{@lT~7H2L^-^J8<#s6>CM@~*NL zI8%SgjYaK0F5{Bv+z`%7KVj$sB6|yvs*9i#l*z)Y=en{_hrcu28A7E}bU(8tf9==w z2PTUL(rqVBzv9$c?o*x8d1nwG5H!JC@XIgXxzDUEYB;PU+XD!b839 z(Fm{8-Y&hQIYrIh`;EQNVZkF!M`YU>fkEU%DKn$XIsHov-fz$v<>gg--9OLs6bSUx zm=*b!f+h)_XQ@c7AZD9ZE&#hMXm75q)2XwX^Zeo1`<{~5!T8Ya^NuaasjNsgZ@XkU(`!dRcm!RZjtYn&5nRrRR{`1uam^gLaz>#=DL zF`85NM7#TIba5Le)3x|RtQk7FZCCdR{J}^$L_+&&);J4t-L?QE*!GPkWg=VAnrxaG&Cti#1Fz#$m zr7hshAp2!{q7gov@C5JP0lPX9WYzX(45C`3$Lrh~g3%Dt@{)V~U3Dr097r>5M{O_a z%|x1&Yq^92#gjyX+&Vg|AP*+hxbb}Lpv`}R!QNw1UJgIG*rI-YI5o5u_c92ne@>6k z!aEb(h-&BX=T%rxTZzr9c~túTQP)bGFTclG>FuN<&Q&0}lr04ze0??FxiHV^w z0qVABS7|0vC%JgMSq$FTwExxZEO^VP!0=h3j`4ffgWsi@h5@$2#XfPfwFIGqxv7B0 z>gq=GIgZS$pCtlp`uSW>dD>1nWZkyQzW0^84}WVe`&OI#>O}LAbVIG{s!QGVHT@3; z96Ld~7AAkf9Ny18-IO^83~WFBF8Jkf+?bDE`OrMgRYQv}c3^4f7#!$DqLy#J<#FEx z$2zT|&zTYCRw2{Jnf>f^nqeRf_}+rMf<|9GVKq!SB1ph}4W0y~IY!0o|ugu7qpRb8gIpFST+*i}~BZgqa;PDCk@UirLdO;8S9)o2Ye#2&ybeIw!@ z@7g}zTTEThj|5l$Ea;L-2Jxv~afJ#T97!=e@bZ++k>HqgV7vb;lKQ#0c0L95K`Dz0 z!%OJ+x9yW$*lPp4DGaY^r0Cx>y}D3QTVLDv%3~a_&3SN1^&kjy&F2D8Ro99XAadih z+DmL~`xzId)dUA}@DZdjA8}?o@5G)=bJYBxMgH|(LO(^@Hd>JDJY7u^4}6Bz>MR+6 z^Wc%_t3d8MkO#$cI%T(~hV!(mtQbe&;?+y)>S?D~xfP1)Fz1t3mcKgaUFl-!$*L{`lz6RH}A?4p0D~ZSArv&!Px1Q?kwzS zcZdloBQ$qwuB7zOi zEFds~WuBZ96!Ba|7o!Jf5~D0_7k~sIA<|XyiW{v}@b*}WB>fLw6&G`$b{S#^(x-O= z6JH?Hkd-)4FKHb%p?|iU1{*N}5if(*gBJy)lz+} ziw3~oz`3LTeucz$iG4sTwVnS$9%Dp*l4|rX0946;vID~tp1;T_S1lRUYFkdQCo8ev z%>?D)a}q&_!xmUGy;~$C}8?`A-}E z{+nGP*YV1@v~NT{d@F44*#rzfNVT%xEklm@(5l_N)pO1el5qjx&T%}x0HmCETmWqE z!Oj>8E&$;@#9?KU|7DqOiBbJFWP)nTWumRnG>KJJi%g8+1%Sa11El>|v(O-Y@;T*fIe)<%ZtL2{ zk~JsOwMyj2ccjddSS5AI^cw-+0=Zvm}MQ0i+JN?|uEtVELc_X7H~8TaWTv zH^bwOK!1(UpNy}9bF-ZHTmCh9KG^`$7l2WIJlWIVW|JrM?pqfC=NF)q^LA^$RC4_8 zznz)mcMqB8>#cZ2T=WHi{$CBA!N^`{zd^da(anAV@clpzGIif7twoYiL|{BVT>yUB z{HH5${59YIeWib^lAV}L%W&Ipf<0+X;9pFnAq3fgcBDo!hg|>RFj(tg(>4xznP@Kn zWhUBDk-Gl9`d{}8#aw<#{^2<-f*AKNpn~%(@ZUagN1?9~U339h@%d}RO25ya4|T$S ztGbVZ|Jw0tpZA*!0N4A=dH83BR2P6plZq|e5dAu%X&@6+#Hw)10lRy^GR69^zd}lb z_Efqj(bs)5?!P+35Rfu%t`WN&Qz~<^&k_{TApjxp0_feX*u~%Liu+4YFGqR>x?{% zxn0G(vvvlak${>n^UL8koas+1c;O%je9(#|-s|w*qOM#l$;}wuk*b9zyl>!bJ z3fVyJ?&}-;_UQ_Dte*%X2L+c3F2+b`&r;rNIeG9}wO2idWgk6sY})djRwsFKX2<(kj~{=bG$&3pM(B<1&eyNv z5-w}2B(0dWg4kgzB^Q7Svkv9i>QS5$n188zT@i%h9WR*3F$1tukRA?!bs zX8H>N4Lv>!<2?t*z<2h&SAaB|ckxCxkGJN|_tqSmE34dnq`tKJfBLZb_$^bzv$OIhvOdQ4dfn#chsH*)e9Y>=^7h9|jOO1A`Ljf`_$$E8&~#VH z7t~%!?0cL@ZYV9R?Gf}Y#1D_bb)eKfp`@tr9(aoYD*|7U!-pX6+ogL?ZdWCL(D+1p zcHpR~Z!2>qUj&^(R(VG*g(-I<`CHh6%)m7VW2F9l+d3VO?>9?d1US)XFD6`Jc`BjA z)5TDIUh4S0X|t9PAER<_*muXW6@9DUB-psYE?8Md6yb2HH41cm^SKRpes}?x%Hurg zSU-3{wFwrpAuS^-!ZH^=Azi_5c5WCQ1cxl#;{boF7)F7apv%ixqvR{3;ic>NKolZU z>2f$mfW>BXFW_0RR?$ZX7XAuN$?h94i5rfdtDHjDq>S!%Idg~H|6-x1P(HW+J48ha zCC28|kf>4|CFoOPw5PJ!c0YZoQrB@E`s?Gh;j=>W`1mTxwtjs& z@5ai1&Vl7ipS_pnT%F>26jM6VS7mN)ULZr5_< zMw|)_mON$?Z*bYFH6_;AI39NqeXpNT}jBc*U->#(W(6GkJe!6QUL!d(|X?Bt_W&Z_-Tld8*loD zH0MAV?B2ZjeCN3C_1`gHQ}stE-48G}7l4Q!Qb)++p_3L>94wY0Cxax?!iD^NKS=E5 z4YjwIS)jA!JDQFUBY##;c-I`M*+(fzsSGvbzDI4D`Yfl*dxPuGg`1eNVoZjoxlan8 z^Ux~7O3eB|S3q7YuVE}I0@(fT7O9D5yL{Ir(FsrgLGeX@zSuq9bu>`v%I1~lF`K>b zzC?fDS!Ky5Dx+;AIxR!oGBrl(tMBP}&F8u--7>6g_DZ0VC|W7v0j3~qqr}toeJ}6( zb^kh08K$M1?rb-Fo2Ao~w_uZLvvZ`Z9E5rip&c)+(9171RRbNQFe>7=r=_LJ#DeF9 z(6tDx?gwdbA6(z%EC7*OY`xG-Pw%afrHs5>BDISJZuvLTKa&F$iRWwLK=? z+9pSYJEi6%+d?)k+=7%C0nFtv<9I-_YLI1Yil2 zfiw!b3{?wKSI5C$dj;g?yUD@lIAaSp4=e^Gk>o%vW&P&Q$0?uRB!s7Af3CaRF>Uw~ z#@2YW7rgMSwPEO)ctzKPxr7GM@kXO*-P6(Yo@RQEjP;nJVS9rWs?Wf-WRA$T)hlqHRw9?J-OM92BjkPj5auP-yLD zI3!vZNB6#|*MgDqDy23*&Ni<=&t<7(yff$R~O7cT~$JVvnm zUXs9)N)VfMm&Oe$>YB~6`=1wgiTo2NL6dqo4M>EEG%Ri~yoT6Tudu5NR00Yi- zoR!G_O=Jr?D>pTQ1#d&|;>&LvZFnBH&e1D7dida{H}<=ell;`(U*;M#@C;uv`bV%J zI~__e({n7poL?;Ynf3z{%9s8((z110g&q5|+S9C4>6jF2|INZuFDwjJv{?G8ma`%! zSS;K0y3thwo6lH)TI=}`_`_(9t8r3xghiwSVu*ACRU^+x1w~-%-WJHgfKX-W6a6Qz z6gSF)5Rp>;iSCw-@V-iUIShkDBx zD%xOb2VEV$G8G_w8yc1(qy25FlfxlSUv4G1lI|<^r<66`GF!N&3S09bP3@c0!R{V8 zEPUNms_qlt+9T=+i^k{cNHD5&n$ZZZD(;#;)idy-s@YSE@JTtOYHc_iSoxl}Y%n_) zQ}3KKfwmw=(H|xL)`FEmD~JMkb?kOq-Be(R;2THg{wwpj$)A&!BO#l)_!&2)u6Va6 zDdxdlVgx11-%US$eSI#?|4!yjPgxi}YX9oIRpZAyPT4B&AGT6%r_xg8bSof~)lO>4 zvtv(`?^=9uK|;MqrG)PBZoi%vKQ{MOgmVnGHiU44{z{rvp&~`87GAO#^9>|&gBA`&P6r~Zyom^;vfJdTDsHX+kfsf2wZuGIbd#i2>-k)qK> z^tudi+EVOx`z&vGi*;l0_H3I96^Uc3_{o876EGQ$HCKJ^!U?bNDq~vGwS<`bXmDCg zpu;$?!X1adcDu3QZ*9bBlGhs4C-?!B#`*Y`t#sHGslX(6lrrlD0O0FZN8~(wgv?mc zZv%16S`6M+(of7uwMg4*9h)1z8hS3@JNgy0R~tZv0UL$21w}G zeQ&&uJx*Y8cOz<#$(N!a?8~&scixJQYUax?Wp^`k zXZ*EfwE4=1>*nh!Ic-~7H9rd#U5 zpr6l;b$}TMk-~x)e&`XY2PoJg2%U%1tKEVq;uEoNEXJDoO12JMc;Ctg_W8NPZjGZ; z$~vxFu%8;dN&R|>+F2Gq8H|CG*{eYAz9AUluRJZ?4{cw%fjw>8rz48uE+cY>p=TcQh65$8*u3HvlY^@k z?9jCUMe!N6P7*!Q2It(J90|i3fagv-!PG>NLVSruvTn3!~q z&zembriIHA$5tn<=T%T;qQ)dd!wMXAn1Lqi#6m&FI7UFzS}QUh|(w zo(Ep_JmoCyNM?v#4tdT*y1}k&t6joORIdZG*J;@BV|@ir(8D!p~U}K`Wms7LdF0 z1aosYpT&81`MU03vp=g-2F0?_?gf5pSGZ{sb<+|&pU?JyHwoRf?nOb$U6!Gm48sN1 zon}0}E;D|ArlnXMua>M8rw6?HTz4Fnlq?9*|K$t5A}AwVwv(%1sQ+Qm_n>>*>No7} zGWLo0EY%8pmkWz#;elvkqoas6C4*>*FXGy=?wU=twQNv*?labst?QJ0e91a&tWkwQQ=w;(d>gL|YjY$Dl7!C_Y6f4>F->Q3HhkYI{AZ18 zh*dd^vfppl;@LpXdAtZWVG6m3_#F})9m~CskDvH(qd8w|?m&1cB~fGG+h!vnxj%Vc z3d2J7PZt;;bfAR?FRPjnM-qay>*g<)oo7k#$r4m6tM6z@N2YQ%DE|Ji*U7|04g)L+ z;#v|{5YZqul1xx7&R?JIeg-e9;j@Ry_)492C-I2wHuJS%WgGnvJQs=nkRS#fVhz$F z4?nlnIDUI$BoOp28I^8aY<(}=LreTb+9Th+`{bZ0@q3_K+6F=7(CUEkkgh@4vHIDdnstxRSQ=&kqM!Po4GT-+mh{4Ag6oc^mNpof3zv$S)3*FV{)U55=xvEg~x1l zpZ2UnZ#XsF@%yq8WJbNF%N+GSS3KRarE)!ck}~(j#5B_SyR zlbw!M6J9d!r6pFrF|0Y%vhCLCR*OLDAe~skv#;Q7u*)4wJfrt#VJNn7e_Wm`ec)x0 z#&{q1_}P@GC)3Zo->o{o==scf11S!A0cWv=(BL-+0TA}7>M!<>$9J0z3xcBmaV_;? z6L=7nBRn8bTAC$lclDjh%A2Y^v zMKwm29aE06bWm`Mi$)`i5pS7LJ`w171}_EI0lO5`SfG8&{3yRP`IS=TZpb=Dcg2qpOCG~=<2J=Zds4$>KimcwFhwVJF+@Eq&(W@yj9y^sgmU=A? zIS-F)NaTHB8X7$KdyxGJ>!?5MlBFA$?N#jga1=xub!`4A4_OmuROVZFX`Q{l_0A<5 zL-Usy36+G3^5&wF_A5TNbxp*iRYG*ZhcajXL?dS{H#G5K zC2meAsq*8{aG7ZOdJW+0NfnBot}D=Aut52wyFwm`)n^u7&=vUnN)U*p_KlsnUY#|R zNzbO?!M#dpkZ*o#H)--vs~b44uZiEqn)a-KUaK+V;kLN$3fk!1{GW1Dr!umWpIwqq zgd;P}QlGIEH+h;Eb;V&g`aapa*_HJBZyh`~h<`l29#R7IYhAehX6PW!9O6Z(P;88o z#V9r$gx-|r8f?@@4*WXD;4^T#ns^ie2yty0dzIf(h20_;kFSUS!n&Et%po&;ytJtk z)-2@>es@q`>DNCXkk{XEfg}A{KTCXoD@xJ`vvQ|F_Sc4~l_Dg@Iar8bx{2wRvoN|R z0+6D3>V9?&&xJ*Fsxh8tKyG0l;>#=0KemBjF15lac%Sff8{KUMkdApALUB;Gs)dv%2&L`s7 zLw6+hY5dRYlbFx}Sd1ApKNO2XGxu$Nk_K~;`jNHB9^_jPMKk+1BIq1Gjb@2#R?N3i z_bJsc)Z^Dw{LaPvR(l9ib?~KWSOtyz2f6YkIkpD9jtcnbjMs=rQktpndoYl0(U_6GSF7J} z?7e%7;3vP!L!b@Xav`ebxmYIUm(B$FSyaaD7H|fqcrl=j!E!v`{xQ<%RTS^f*9+q- zaDQb>O?F@JphKN;BSv<2>~`-Bk(mhaPGKOwl=`O-l5qBNiad-G5@tsb#SiqHbwv)P z7rH}5t0z}R#uhR4Hg|+S>p(qyT5eKjfN$D$Y8SLfY$NzcBQ2M4@Ev&^l@^v@tNN>j zQ`f3m`$w(34o5Y+Rqq1R+5=4OZ2!!d6eUliqWkK-O~#{CaI)W8 zvk^{AxW|F#ghk?eYNWZmyjO5vzg{gt!s1SR69^1(#&wVDvzP7Vvc2}J2&p(d0$Sqt z(PF!jIj&Q6zH`?5A9I6)?HYPj!tw9ID!@EJ81!1rQFrKZ7pp&pRC6Ij+ zJVs4$Oii3%;zd3vl=RYh{%w2!0|b}6PTzKJph0I0rlBZgFr(3$>@=UHz?rD93Zh;&p~(?;4T z)Ok&8*OR~lu|F?r4n;|^;hiw8O5nGbVoQG4VZg|iy81W6KYwKN#n{(A980Wa=Ib72 zy4P0{+hp>H^Zh!jVccInvtHeQXkdPUwKDG>Nz zhn>hb9qjwFH@vQV@)oa`LmJLjN^7sRy@eHvm$b(&%ng0_Y!={52`m`)QK%csO)~fT zyqB#lwdUyHaNsE{MjZjsB5^LJe|h_)>fxBi72|=FBqB@E@DD_8i#&7~S=~cUbYl(5 z=u#8LKf_hEB^Ke~Mw4DgQ&_|tLkBD2au&Z|d>4gKWnI!k_K4GGg50%GK70W|o~Vg` zdEb@jDEbqnF@Zph9pTWPlwoX=mM5m|7W0dr?%a^S$6CV?!_>)iAaSPkM#e+niHcoJ zX}*zu2}q{f-mR@k?0e}MZ3hT=)D(|LC7Uf)j&Q^}N2=X@|OqPe=Q$$us+{e=}c$xn9>Ky6MyFmTp0XNW(~bA91(9 z&pKjr=KC&_jNdd{cbVI+0aVE;==i`DRdv%WDiDa-6;?cJE6AGwhxaBnRTIMl*1 z0$V9vuF#4N&|`Txu67L{d#tCq$H-`&hh00M&30z(;j!mtu$Yk&_5%yT+Md9?mJ>lV zDuGz?+MK~ks|MSh`+ZRlBFo5FSUz{6_>Ge1>iwrex0LRh2nCaFTw;Nn$+wPnG*-A7 zB-U0<5Fokjd-sN9emhR0loT5KpB^iIor`PoY_dKd9{2wu_2dojs|@GwVgXSc3DAES z##|;pW>a0JM{EeKNbPpvoIBv$&3xG8lUlrTAl8?hOfPRZ;|diSU2Pb5tgL^Jct6%5 zJl>}AWSC9b%o8C_`ww>tVRvPiT|YQ?OB$bw?hDWp-?C!-GvX1a&dpSHlf)6Uq$mx4 z|A7Z1JD=fX>|@}UpI>KM1r~+CV{CbynpI6%OlUF(s+07f_Caq*45I|#489vivr~O-F{yN)+Aq{I^oMN;}Xq7Pcguw%PX^@LM7$!^j00yl!_G z;n#~8Le6QC7&NdfC*HWHIV&L6Ve|!no%pauJFkAMIuRtg=wQ>gZvEJZ<2GIEg8q%> zrj-oh)npw!3N2uzk-U=838a{tp9?ErI<)#(8yi4-_>j^4Wy9eeI?r~M)uhiGTcXL}jvm1D5P(iA_4I}m zIsCMOKr%PmBl<*i<1~*X7eHgUtkMVuxZ6tw3;+ za|Wtd^TUmA3Gk;#pVgPJx8xkDmEv_CQ_g2Oj7y=C@>QYpz31R52t9V*XgUDI*0-{M zxwgT-P|Dd{PA;eJJr_gXZG3C%J2{YeO^HZUg|}>WuB&IEh4x+S!lO!w#~ zL>-(}hb=ueIYz}3M`bkL%>LDdlzZ&HFc^O=KDsd>*1;UzN z0N#W+Aw=L&Bo3lBPVf`Y?di0IIrFM^wWCb0eJ`Ld5kGlqk*uD1gMw(hA}_|e=9YuIW&$}uhyjgqj|SmATL6p?YPUsr9~;( z@<(RyZd6(=-5vhsw%h~9_`#%qW~eC}gzwV4^y_AZ=ogb8bI`f~I8o!Qf}TCWRX28K zyGGXd*9G~P&31F1J*db~_|rNA`?>U!>6MsxUXD1C+=6-MQ&$JSV)w^CFYeAhao1DF z$6YoBqids=rI$Awne*gAAe>4zZ`C~vthy_DxQC!7{4B6(*$#X>`YI=`X+XJ8kl{eb zI*|Va5l2!bjUiCO0Q}NnJMMuqnxH2ax+^ECwZ3F{?~}A#pJ6giB7`bo8r_mlctL!D zV;W!DzJ)q>V)MD~vcb|j%p~$hQ?dOkRk*GU`UxjxnG(mhxy+Whvw#qH`(2{UnF5Z5 z-Oh(6iY@Q@j_|jMwf=?dJ}|;-hZJ!v75{2KtiUzIB)|ICkbTsov9Mn~P2Azz#qeHk zObtXHgNz|(wFL0>G<(xP)Kd2cosz&i^ZqGTJfOQ2(bLGEP?*b-GCmC_)A8}ggshFa zR$Z_c^ZV4M{L)D1D}khk;ae{y*1dV~2#dDc-u>DwnoAYY=OECW?4 zD()gYU3pW(ByM78ti%RHKC$F&k&%C~=tP-lmb+G107&aNd5&{ves^?pWY#s#{7;l@ z#~wdqaFW2pf1nYR9HVw0e$_>0Q?7-5^jl5D%HonXtNxstqjbsjKTo?UqIrgeabRjh z+;P)zRiB@7BM*lI+Zo? zWkvyWjp+oCNhsB4Sou-CucU)eUw!%6Fwxg9(p4;U?{t3)5{O2`XNx3-Hu)7UyJDk5 zW3Tq>+CxWAQLcN{-=<(?}AoVX`sn3%vAjW(AYre7HE|-hBS8S;0RMy0!6m z;u(0{7KPHSzY^|qO>fE48IY>5x{_d);-{WjRq;oAo4RmXtS;`8SU}ryLGQFS?OE= zDpPgj-St&sne#uq?3SwjWuUhANX_r1Q13QL4DW6^3emWe{7Ps-_V)a|)8gBvm{?1- zD;(F2oxf|2X=7y12LFc8&f%WTT4-;)Wn*-7*O8^TXl-37uTK7?_w`gh<0S<{ZwD_i z`q>%|FBY-1IGK-Yvk)BXVwtMG7_E4Ev1Z)D`N4S_lzs+6k2gUf0G7joWo4QUEz`0# zS(ht{f2l88rz_6olZ>CHbf#QuFXTAo{KVB;GU}7u-Q3e*Y1MPp4*lUCt$#Od!Q zwc60a`&qglbLul^A1nv@-Otmoe_pR#Uf^g1eZ;0L>`6pGCqQ+loxnuP-6{B`D#u{v z+*psyDN!ZP@Vn0+HHLjI)Z4**f#YOatk)>&>Rv0l5wfYUJv^BcPo+=ESvBN5CGtNn zK0K=Rna}z(?hg=wtX?Kw-FP&zE1QCyHW`)tJQrUQ=G{r(LcYzC=4mbsf@%2iXV?qF z@)u=)7MI*EymRFW>{5#)X?&T3?8i2t##K1A>eNNcuvCI^bG_A1-=I|rhX||6xDW0P zEN7~3U*U=r2RJ>5$0$PluDwC_w(XS1aoA%kOp&e4wxB0@$6wvII*rrmlLIY{U&;Yf zxkT-Vzq_i(!eaGqXwmsM-NM$Fg`=E*R_{408qFtvOQQb`tH6Ugm@b<)6qg6zDf9fD zymt9EPPAP9F-yAY&M~xf{l;hw%TXB1lT?9+K8lWVKHg?#bn=}u%-2*XF9R4yyf%Ih zU#^R7ejpW7vp%LGne%gzv|jb&!&3he!t!txIJI5Zl zHx9X*Zvd!iHxeBUql}tbJ+d3xwrz*8^9H<5k$w)DUWn4}x+f+%6N0nP`>#vy9Lx)| zK|KyL&pFF3?Tnd%Zs&J0F!y}Qy_h6zsVZE`CzuXtC*JiNbkKot@rW0U@b)xJyrUd_ zH`w%Lv1IdupuT@_TBhaqSJ*8H(BAsN>RV!2_D8iEVmIj*oUxgP@PIV7Tvr!MbVUhB zb%xkPA%rcT_&qGG4fHNqm=8{Q)2e3k6~lcEZb^y`Pz}-56B61Z3y)hVR*83(0_Yv|8RSqs+OVS=W;fdu_eLzLcnt8g6zl~@Y;OhW0x3C2D zwBL1m@!NemBw(;>FIRP;bZn+~WbcDhAcG(cfGT{(>=xmMs|;xfSu3`igAFvVk7(ti z#$C=#dtB(XlQYS?#beK;xpq+A?gi{jP* zh|PEJ7ua@PJKOo4C+eC3J|u! z>XfbG&rnZ4F>74h?^cqSXiuVVgum3IlL{|K~KAo$faCv9j-?Z)5}b0En< zRv_GoQ!T|{L5MAJ#7mCtVb#gW52|gpkI9<<1^RK0LYifrV@kG&vzz+=5rGXZr>Ldt z`16F)d3Tcrl{@)p9S4tZoXvFqF9~Q5CvrODBt^vijc#Dne#|MV9YDe}_XFH*ym#75$QO6j(}#C` zIF{QC3MT}D7&k1Ra#+n0m&+F{B+Fghl@#1Ypfs{w5pGkZY(9V*&>$dcgX~td!2drC zeea3_&+C~#3if8cRaI8ZzbdV4TfB*!qiVbP+(|~2*mhe*KO*>P!$MQj3g%{RbrH~Q z$DzC@3;2O45gI#P-D22$v>}I&mi%!WPk?V)(k`IhufK1x>a^*$0SNJU@7%u!h^FV=}+; zS^6RGe%E6=zfYrXEZJ9DL8Dq3t9|nl|7%?gLmXjKOz;rw`-1Lt+^imaOn1*-iSbD) zf>kz!U*SIr{jOQ!as%<_gYRcAmRdHQTFr#bb(ybRD8vJMcUG0UrTJRyo-2!dE7;L* zD1M}dTOd2w@Pqhy%DSv*D>q>0Zrt%>1P#N(&x^iK^CNewL*ds_M3GN!iQ)Udmg$qv zeI6-mZ9X>ZT$t&65;$cR&gsQBBEFnX3#P6kJxfW!LsUUbj)8l>{8WX(Dsx2QT!O=hVR zIudAo$PLt|Z==q>x02a1yst-gFE;qV*wx}e8W*>?Ca1NzpD=M~{nIH*(Ry76*>6N>cM26UR| zgcNAbpSp7Yhok*B%TC682b-Y`|CwZ4vFFb+eHhTin$W>c2FzmGjVtz4r507hVyzmwXHgy0S)}& zKVjs1;XhjxEpydLSOgCItQ_67G~3FecuwUk&Gz<%kNvjVbi^*-VkhNE%KI9x2N|5X z8#-@PzH()Z5%d+Oi}?D9KmGq4I)%L%~GT z-PJ@bTGK9)I+n;A`SNxeV;^zrfj-z6P<%KW$Tn1Qt4L2NjL@5CmnwT$ieMtXznK&w zw4)D_9;pT?AT35=tuVw_dZX+JVS&K$%q1iVqbr{I`GD&srHqN$Jmz6_1HA3nv688^ z<7PRRqGW&FuMcoBuFpI=3QNe8Yk?v4t(o0&zAG1<92MroE=|Pae=_Z$Itc8-ce`OfjUj`gAGJL#g3^^rwv=aw zvN%GVZNh0ulFziJxaFQ3tf>aN;^&x*LcCC9<<%6Ko;SYsDPzGpa{=iB6mk63ddcPS zoww1`ST{oYh3?uXc5fy_zbn#A+pF9{Ipk>ZGSDe^wk%eKA*t6}uY1$@D=eYEYh}W} z^1BZEXtH@Ew2+b|ng2F=z$4yA!{e6iF{ylz64nKIduH}9bZ;D$99W75#&$jz;+=$i z7=`oHLyMQe=QXAFNWn*z9x6+JI;<_tdyRkMsu(UFpiMDv6xScxb1+m@R%Y`?NX7te;74}63sbCQkg{2^=Ns99G3#B!}LZl6OE zN&dPA^ej5K=q{p5tvp@JT`wETIW?@`AN$`qRln^ce1i@0 zq!J2<@*3uD>6*WYtQ2zgjO0}HZ1!VRz3w-6dlqBTH2N*1CEi^IUsQ#hkyo+#=48cM zo3)NWjc_HuXR~e7q_tINIZu1%{wXAW;nv_!=e0#pKVPX<(QmaPr}6>Gxs=W_SqZN; z#g)%kLKM+0_R7Ml1GKZ%-9-|}20KIvWqjNJl$TQJWNko^6UdB_Xj=uh=mY5A)G6fF zs%KhPp>3JHU@YdcA`G_^$#Cx?tPa_pvChAumPQh9uwLlq2Y$Kp@hF< z7F6z4rJ(bl*)<^bwN!9BMelo>ok;%+&fL6_pq}$v%7p|9r$E4xyi^ek{wj~RUVBBl zfz131w~+>?68j68--cf%0u>48R^_10qEAx2I%39XU|)cZPW0va-VM-j$&9NxzW0#v zhBmE*&FaAReS5(xx*s<;r9_-mx3ygjgo4h-XF%;zAADJ5Adh@Wo)SZ?F(cS6MH0up z@Qn!^xriV|##6}RUCZsu)|%3j`aXTHk(1+CrHKPy{l)-pdDdkyBvH3ETRO~xtdza_ZQXo z2@wCJ7;z>QmlG5-?1j<4E*c(fC01c#A!@bi zbT%M5$}{i64U*j5u6IKz?F_LvB=xX}R4tz6@~s$KF41iuUi=KJ>_d#*G_G%kCPm)F z8lS{BGq6ybqha1ac5ZXWsAqa)L*X`U0%XoZ_m1yv1N0#^H8moLfb{2`Bk%e6HI6FY zcB(PED7$;(3DI7Bs$Hfe^L_vnK=@Np9f{MTSvuoP`GJ-*BX4&3h~E6})VR>x4pkpXw>YcA zH;Wu~=_l{$b3Hnt;|E_no_qJa>0>!lM~4jZz5TW!YFu+JEMGo~47kL-hQO>{>dXfo zI~F{WA6nNcd~8e#)9>e<|&9AbrkN?KKQV`zQHrLR18#xvfs=XfEJ{hltY z5P|_!IJ722{!xoIj-S=u4OSObz4^i9(9<28`0L*3gZc}J4J^B!Q2ZfBU23YDR8KPz z2dJ&>n}Vw}`%lG()}&eGst^YOpS<=z ztbcBbl0T|YRh@mxbE^COsV9p5s5?<}n1SaO@+VXGiPO=QY)Vt_Tc$`7GG_Z~)-~QA zKWIs*o!GabEcObTL;3*_zJo~|_nCiY-y_K{f7QFNivq>@CYf8QF8fINVpT3Ukc zmHu5~W%5dqd$Fr)TXmi5xp5tmbE;^3wq4P0S+DSzq8`>^D3r}4|BJisyeiT2?Ho!2 zBf6`#SXVx(RSy#ivC&@6aQnnZ(PSy7Lr%8F1#4q!JGN}G(2?76iEvH7h*#&75Mf6d zE0CpMcC{Bra$M-)Y|X`k;e-#n?_*QqmvK%xOH!o&h}wfqeu_otm%?ofCAj1HrMJ!V z+b=1G6r?Mkk@g~(d)rQYGhzU`$}UW^vzk&*XEmwBBjz|mLJ~ec zKwOy4((y;}x}^zixc1@8LDj}IQod0z%jfFgZdS-|$|$q7e#tsA^}f`dFGRc-++8p5 zys(rv3su#^r3=DTR(Cg6g_dG#P*U(DnBz;u{Viel zd6X*(wks~yyzS>dO$|YqHQl&|gC+e%PX`B|DzUr^)&)7^__%Qef3$TJ1=V$y7#kQ* zn4YtL0V|q4r+ks|?M;9FQ@=kFS740Mp5=^ZC8gec<;4wD63=i!=3t$ax^V}|K+k6+ z>fN#$pzD!jMY4GsIk$SC$YRM#UF{@gY~ZN3f>>=r@ZzoRY zc3nqfe*xPqYQx!TEVrUj^&7M>_<*7udML~jrYEoWy&*5cv4ne>qct7%vOG6}L}27r zDb-_=RI!RW#G%;tsB^2QGHal+NcS2rsMrUuSNF~^ycfTsV<1c<1iXaZH5t!=>))1 zhTxCd4NE}I*Q(>Kx>YI7VrHvK{NZGx?&tbI=Ozc_QN%(Ix`Qw9%vk?0f7f{YVDxWVvSQX!r*LKQ z{F0PeUNxcrC%mTg^;ccs8Asda8xu)}&AmJ~une}zG^3yHy0NqxJEe?HLs_WONHSHd z8&1~9B(Z6mbE9O0eD5inx_F28`%@F#N*Y+9rQg>-hhOZ9#{~3N zN7$<~LrA5#`@#M`l%=T_-8*`1_rZzB2NT=@viJ0S&qKkPL&=Z;R&($M-=|8 zxeN0l206iZIj8ARnpl4wH&CNt<>ZH*rYMbawiq1{9YvjH0efO7O1hqk80|5#4eFk9r>e!)ob<&RM}*N2s(NdL zcx?jJDXV#A@+QSjQuju)F|Q5E<);IpBz*CbvTUln&y8X`fm4buU;pJRQVmu0)w^`} ze}2Bxa2Rswfh)AK$B*Lj@Di4my6_Cp)ho)GH`ik@80DX(U|mp{UEuKcYU4ex0Kju7%3%6%fhS;Uz_*HKehx=ZCA$ zoIgkQeoS%yXe?&P8}&6^5@bu3NxVudyW8NA;P3j)_WaOl=H{h8Cpw}Bz_L$pL96=# z^w=#E{9t2tf07g8l@ayttkANmMS8vdqZpo4w8pfvedO>D4!UZxw36m%nG;rDDr6|t z=wz*Sstn(Qd!_%qoEq2F;8G3n2AQP`g}Tu+#HKBQ#q|mX_8a5m}4LcSgqQ1JI>Hdx|NRx7gu?^<=CM zk)Dr)@R!JSu0ZT%q7^DMg{&>iH7*WTi1hPep;fm1q}<+zTIQ=v4TIqCsi zo|z`{4oS-!-;M}yi*^d-Q4Ex&F=;ADq||Pd4&(n8DnChyT~BI*njTd_ZwcThaEv%q zrLQ$Tw+I&A>*o`pN1w>qIBS-uQgpCck(8+MEPRy-4-0bm>d!@7?B{_#?3Xgz3#;^! z7I&dM_$f9<*Ysh=cS+WZ@3YdvIb9~KD`R~-WWsFyjzH1~aA@ZV{2ExSJREfwfGfLfERQ?BmF+iHplX>Dxnn)uPI_V`}q#Lq;s=6m0L1fcaFBhv-EzYLSj zISk2MFV3nyODYQMGaoJrHN4A~!%Fh{CyC3ncX^}t#|=cfF*Vg}d&c9Rk@)M6+bmC- zdG9B%r1P&xOz#^VK^wH0OJ<=`uXvJoQ7%W7t z#L~=a_53VxxR^$x7iB{gHJ0jnA`|4b6Oc1!WH7%ZZFD$~!yt1WF@=}WPlO7&@}6m3 zQ|zj(xorf{%ZEpmT!}+)7_q!py#%gPKQi6|$1qh8F1a|*%=(GDpwRV$MUVP)0{)Jn zDf7a2j(BDqEV|rHvHdOIrA}?&Z~*_p0vptKeVLno;081A(=UlBSzptHmK2wbc(A3v zZPaF1u_bRjUoMLqcP;c2xu1QWW#RW_-~&jocPvZOO6OyH`$qn?jtgbYFZn8&Dk0WM zDo0hhwQ`s34v=Kb~FeC|gC+uY-*^i^FkvE+ukW_TR5Mj$K{uNae$@7~4_v^>I@gexq?8dp2i zsInk_=bdZK+e!7;{~qQ@y>i>t{8sz7koJ|~^2^UHC=IZ2B%JZV}Lef=dk+?0qY?;E8> zG>*7}eQn#n{%6W3u*&%u{-GW+C=Ng!NbWC=2J{3``|F}z61+f>pWb4eI+^^w?lpxE zl2vLJG-o~HXlRz?b=cMCaH$ut7dR+AtM1ug25uGvkxK|af&C-;KLzEK(O(POuoXlM z3*PF<8j3hQ_3ZkOK*b@hFVqP>ZZzM&T%>1mP7^$=LdBl(CXBa#2CTtX#4bN$GLE9q z0COx3ITeZk4G2>@*jgMedcfc}+-H&Jimx(~oje|xGU zTGMOLHAy8ySEsT&Y~yG`3?&%*WA*+c`B+64$bO{ zwVQ)x_oX)>6f-2!Qj^3BPR>v!r3)csenwH1Un}4p;9ngEmz%icKRC+GuIPq@$kz4T z&8f)Np-ZWdTXo40|5mkX*CmLfi_}UZgH15XK2Gq2^IZn>Orbyp!xbPyHy{sK3Vu!f4Da%Sk%(#N0w==I{z&0U8es{0H!_C#z=6$ zbGDNBn6F~*M>xcR+rJdE*B>ae)X9$@Cy-I^A6%+ZwD~R>yT$%3@zt}hHo+D@J0Akp_O9Is-IMH8|AYnVeBi3oL5L8!$)q|Sp zPIkvuK%`(hvkz;-_tpKZiyXS8R=2)q1m{vJG1kcZ$~xUd=`C^RZ|v!f>8&Q#%k75y z0Q^Al3DyM_5uzyK^!Rrt-NNau*)=uS_O;G#`Ge*!l^+}`&E|A-vn(=KHvKg9r0tUorpNFj*7;Sv;L2D1Lt z<_Cf(9gyb23HVL0Scz)+inzy%iGkeqFC6sG==V>TZ7!p6-xYcMEwP1#{t&c99xc{F zUXHj0DKizeGbg`!yzeu7&tz=c*&<1qb7kOyc-+X6WW9)J8rrxYHlgbW3x7X<38j zj=+!@VKx%l<&YB}MyKA)!p+fnrKO+%iiIO@qq8BX8`bETK;5gd74?yfVO8!9fjZ$* z&mD8;4DKqva^qM*+$X>re|KyMU3T$0nTFYckv+CSRLFr0&}ZvKeJKj#zfE zpdTq_4g}iVzM4O7AgP^GoO_fLj1BRA=OItVbKUR}dN25{5as=m-L3*@1Kv=!JuXk! zg@~AY$DqFj?wbS<0JHCFWx;bS*`#O$Ynv_`2VI6j*t5AzY^m97`+qJd=3^6tg;MDOld{Ny{CDGVs=;XO0u|Q3?!}g$k=?^Gcv-9t!L$oj zQ0WhP)XC#`Y5q&oEdY5_PsXThY9a8FuIDL3_+CyU|8yXUKNB_+=FblBX8QaBBGj`_^&o-Hn}BaTnfhi?-&hsB2FeD1crm&0S;sQaRqkf8WZ zE|_0Wrx2C{35*G%_OfkCHmy1q|BalfA1i9Z&3j+hiK+-~vwCl?Bz3>h*ba>I=f-6R zGQ;e(0Z_DHLToi>i{(ovR^bLp8fs$elA%|V{i>#t6&aIfw`H%1s#(WS)W``fsL|fQ zbq-!6Mzx8;8!<5jcPU{D9lm4!xpA8Ex$_E*c8@{4dr&rPZXcBX&P83YRYi0U2`CaajCBmsC;?^H87jjNxwq*0b_M44bGm z`GW22-Y9xows6`LQ97OT6G{mr7R@`t`Y{S(ASwp1^aFOt> zdU*ZPpblWrsFOPS?+t$+t9a*(Jo{zxE5<)#P|;o!eT_T7nO)P!^yY;=69i{Hnf1N*xs2_#36MN5Rya$@Yw*iAacuVW*v* zVCnB;eM^Q=r&JrTCX`EDztJMbiF$S2e3>gpSnQs)ppCMM#(7B@BA9GzU5Y2+5RUkdvHvAwixJP3TW-^CKhb^8Hh2PcFELIDq!P#gV>D0(^q z`U8n{b4}V#sDCZQC1Tcd2KbX59{nViQPj<91^J~AKgCqhDS`pUw$o!$E(;p%0~CRe zG-;PY6zMI?q31{s7RM?`k`@JS}=|NS22H zOGeRuxqUV3cnyja*XA|WOopu%T|x!A6dDVe%Q>^`dWYPYIldc_PJ!3Js#VNLma9I1 z0bTimxl%{#L0;2Y?G?PIOJn0n-7Ps!SboGM4eOaEWX`JqbZwty(Xq3zSX$xqDcVVF zEmN2PZrHxca39`P_x>;@9)C1TFC(N)rK?%i81wKa@eJyt$fonhe?(;DiT?q{I=li| z2sO4_!{Wp9eQHg*Tz8(vrex&tKO(=!=j?L5HI}vaQtwD6w~-?H4VNoQTM`d4GR_ZM zi`NftuI@22awjbpk-Po}9XCnq-5DerS;6K=B{#iq$cP(Aa|Mq`S zZRw~e)VxrSyK6jOzwCK+eDZFt$To{qJwv1=YP5BLlS+v^f0_K9dbobk(jr~~_ub#+ zJJzG`Q_;rbK+fBj^DbfIe;1iDqL{UyLaK>ng8gPXl?AJuF`2~6k%YKQbyf;!y8ela zOa7sO)6!ovcM3IdZ284G8*C7|>+gZjrdC^wWPkR=I^EFJ#xLA@x1@Fyn6vxu|F*P8dnm-s)c zEsJMPAI=iVi3SY3Urxfzbx3`Q zPsbYO*;DZsPdvDao`ukBKIrxcE_Llb{Tr^pp>d;7l~6sz)vz$x_G3kzMwG!wwf?iT z;{*NTzMN;8g=`6M8RFz2)%e*_(<%uaAp~+KDQj9wb&j3wxfT>*`1P3RY~az$yAt@4 z9r)2aM+E*=MrE=(y2``&kRj8W<1*W2cjadMIn>HrQ-U|YZm!ldbD&xaq9lCa?W0}1&jG)Tv1$VzHn0?24#BJ0o^sh#V-gkyh7NbzLQW_)eqoHy0d zU`(xyuB|bUV;@5PBdT#ZT0?Ebt;?W?B5Y<;RHwf!fsN_KIAjrm?R?4LE6T6iFWQsT zA0$#OJu2PR;GDXMLEm&+F=8P|LCT)ICv!`hXgr)G<(^+m9ZR|+XHxB~*3slChh6US z*JMqJ+tRI}m<9)3Q(ds4X+TLU&n)f+zVwes9d!RU3RRag%Q9`&qgdV1<}LGF@t(hE zJ=To?F3cM1b9MYj^e7AAXPzs{Xsg<8nie`C-g0i6taBzdI&SOZK0Xi+*@DLcYa@<2 z2;i7cc{OEV>`h;mVOYxAsy0uw&`B}^K{KZLyiJVQ(D0_2E0Syy^2ht+Y%-}}MncpfI`BPz{{-wY;t%|mA#+|cghAhpIVl-yUp3w zUA~ZeXy3ytW5hoqI+recoXUXD5*;y$Y=pb{#`Dv~KdS#PVgJohHo6N4TN{hP)&NOVOrfy4bJs$p=$0IS_8P{d?1zp5!ui}gN>(dB`u z3P@mffaYD={UVD*Q73}j?XQCp#%eheY-pFBC;n0xi*%O?2aMTac^Tqh(e0<{jPt!0 zN9~Qmj9C=L-Wt`+!}+5y3+UfvI%$WlU*h8;XrgXB&M!q z6N4_oGc(wk0HLZ=HD^P|yruq%*a>voAmDBwM_G~ByIG=390BG9XHsMiB8L{&A67zW+N~oB@xl;s6j&yGzMNIk2 zoW@U!``r&WiMSG_x(+h_W*r&NP1*P$b9KpD#5)Ys#l(}beui$H|!;TF(5 zgodp}xWt}MiWOosB{qtfd?roc)1JF$_dC)5u8n_Reb5UYm^Gy8xP~i4kK3zRR4Z5I z-;f9PS&7aEKDBUSWxPts` zQBQtlGw%idC3Y!>(aqk>r5`X;@28}LN%gS`&C9zWCkW4f(4EU%WQW!X;$+>hwkbD9 z>0|l#)q3v(|K{wm=QOXPrK2^-Z)E9K zoTm|*kn^K4g-0Y2_KxV@Pll?j9LflI-#3HF8@Q7bkO+Sl(#eebdMl`yRKDS`L2|)t zY0$%NsjGb1M0agCnHv5(CA(NMzp*H~iI)kxdVlq3^#QT;2s4-DV~qzbWZPGt z$#eeO;Cwi|0CeClC)Miw_GQgSD|U6TFpu)3#{QoQMRa=bvtu*|L<=pBB#+r0ZV6798+)dm>FnY zy*$)E4P(QOsbU_LQijVv5$?m?%e6-F$0)EHMK&%OwAc}^%UfW0EQ_eKZh(V;dK!ek z{u<#_T_|p?alCDby2ts2GlU~0A??#%^}E3P*g{O}-#3=-nx`j1Jjf#c=X_;B(XZ{X z8vDch$6${{*HpWiQaqUXKjw#RBL$2~mp_>xXn zEEI{o^~HpA4}ou#vHV)0*h2GW+YR>pEZEJfPP4n`;c0@raIRJx{a0HRV@=djGk7h?H+E zfqYd9Q(&vuzTs*)SX3mRmr$U4aG_v7nD+pa)-UYl=k*O1emQII*J4?m%E1x(E?MKP zvp(rd(w>2jFu7jY^^771BRr76{;ZD8WB-|MO0a*ZS5-OF!(X4k-bYa}n7>EZ8J<7f zcHv+r3?}>yy#NQ}NoBjD1=rf0X2+w7$_rgJq8GF&QF+$ho4B5=&67Xo*~0gKXGwPW z;wr1K2}X5jp)B>2$^0K{+ZMV6vu=zTcE5kX?>J6+L;~;d!M8-V!C2P-l6mX{^=|}D z=TzTKd(&6(ns?x=oX0LGMMn4$HbWEMcTH$qbTPo9$9gv&eXDC(`q0_o!bbs6YE<*- z%$D9MG)fJ@Vc*!~T!D_c${jzcAB}odnlbuI65eWGjDoXo=8{Db?{eRL@n zW?F;ih;ozqH(kFijf2s~KxPvd;F7%!3ocwMAz*vSo>W!xB$-3ZVa~nW2znPsp?eRF zTh%^A6J)pGDJ*L5Ew&sDytKnY@2u`CQtI3tT<0<|;e}w21+BwC((j>8)(<0q3I{)X zh?X)VO7ClqepK0T1FFZ;0!!tWMw2hpdD!ma{0R~W{Qq<@ljW8D%L#Fjd-`vmklrnL^qJDC zv|9ZAiznqW^U>|L*E!3jv=wwzxw1`{KlnK>FM!d281Nn7=1&nAVhU9lIH_*|gD7>y zpKSC6(qYoVX0>gLVj>Z|tdxyny%txs@3wh0600aT;P(~zoC2w_3O8DTvx=l}Eey}+ zAmknVipd4Ty-N`5igpS)Cg~p$>v=a1iS)B%og#@KWxV7PJ0`xV!e15(Lgte7D@xU- zy+-4o-!qk!%tEB7V4UgE2~;i@I?6?;AAE{lS=}Y3Yau z?ZF-65yK3KZ2~{8oS^E%8;p-aF!p9kiqG-m2yg<>wo>kO(4#{|Hni@yzt<5F?ONW$ zO|A70+l~czf;CQ_y}c(c#?P6oHPa8|l9-C@ltr^!!uq-uMKHo&ZoS@l9(!mmif4!1 z--+uE7923KGc`4*q>hL-9My=gczd})Lm0HHjt5f9o1zc5=I#24R?#^iuEc7 zJT1h5fkpVG5zG)~`EXV#j&r{WuUp=qN}Sd@#V@2Yu& z-M`_~ya@;#&Ke^8aNffsJ`vpPT$5V@FHX(WU+zb7_IL)uE-!Yw;z`f8Hg)|G@Y5+L zgjzfqc$#n|Re&wM^dkJ&KjH_$MXse4_|6xw!I1`u5_b{}DMUhURg7$T92@cgvh# zt$7TU`;E8}vVuZ>;B|1q()46~@*n}pJ1nVXs#=mOM)S#kAeiU4U`Hcr0bx(}xE<>* zQFW?4?;QnV&BOR_9$ClFQdPuHA>R+^28<6aOGoq zQcY8;OET}Pn$N#D{;Mt8a$^|$mVE&A~^MjtDVxu$S%I3rd*KOBE_ zyv&^9dXH7Jx4pLU%c|MXi_oU8c^^MF-@EglG~;PXe1LQ%dcj8Af7eDfDFu{&2|lVr zPpM(+w!+a1OP3#6SyK!fX6p|tKg}|K7tl93DN5g|Of3R&kEupdX(%5laXtrtu-TWS zvw|0pwAnuiAix~rLjJH`*)n4D#**>MrV{+3+*RxBpT zU@}TN(}TtWIlFVST{+F~qkV`Q@lkGprj6l!IPDE-2x(h3#h0AxrK^BHX&AV| zaB~67zwYrCEp34hSYif#2%q8a7Ggptk>4E%Xv+iCwtS^q0r-*xi8z zU+aJdj2|mZ{%;t;ceZ6-jm1IN|<8l zdSnr2+?Vy^i}Sm>4wG#|$K#x^EcV*rYDT-&ikG&~s;)F}y-^*3c8jQ|XDY_6PCI3V zddoJ%terYG3~!l|Sz7#@m=|UiSZrCQdbM&-Z-guby?%EH=RD3zCem+K=d63vupOB6 zC!<*C{-{m-sB6~|MlZN=CIg<4dC_(JlyCzP#rQ-wFyol}T0;|;2Pb17$iq6C7dJZP zv>V)SV=Gf3!K6|=A>gbmLdu>Aw%nk@@fO1mGf-{U6e_KqgPN@)u08c_v@>br`jKab zdA_z!MT=bJH;zVUZ3jm7Q-zW4mKN(Z%-ABdyUt1a7z$J!x{=>f#UJXbuB5#?VLXqNVzoBT4$qY84HM|>+pW0Uf9>k+ zR?;4?T-htvo}MD}Fj+paO~6OM_*7mE$@jIDpIXVvb$`v5Wfjm*^L-xn=~_9!PjPQG z=xHDwWNFDB1@E)uY5=mBn-4(B_9f3asj#KGahZkjycVH4YRh@1g0?B!TsuwfHItT# zMDt!y#>)C!dr*h$Qp9OGsLqNsOSt~XJ$Z;z_gU!5|g^peKRg~10JX7p5rH0flK79_zaDWiCe9Ab4NBcgcU>R3P>0+yn`=pTe0 zx?zJQydmRmDXUau8jOJh^YXr|z?(Xqgq=!35>!DEBO zufDQ*4N2hMr`Y^7`CgWKQ0)iR!#dj-JW*<%d~`V674Sno0rE8dW^6I1_u0EL4*$4j zLM+wYWb=`nhn4mgh*~(LLGVMLQ4;{2UQ{3z%&o>`AjWc4L{-iG-@}|QpNC}bwATWo z8(r5l16UA#T9u7*5&fMiCtSIPp__)*wO1ZE{JIC zG|8|faZ4XChy@eh4@~)tL?j2TDKgXdqq_tz&I|?B729VD7{$C zwmpibc)}>)5I8*WA^P)l7ZQB%*x#Td{)E>byt(Eyr@bgaAyX_$A>(oa&4Xy9*_h-k zBbVu)-F9pKxf1#MQJ`2iI`H!Pt2h-}N z5Re_}-wO#39a2cB!s6~tUl=<9ZE6?l+vU8-VUWUJ-d9Q@Kk-5p@B@ejO@*#=w#*53 zieszav`tF@6@fj-U%Yfzi5kBPd7=>@|MAMXD6jr*viIgzSmo%ZVUDn(_l<@?`SF0goQ{ zym24v%muLRmZ~_UJbBbqN6K+TX%b9RjRA7TfnxJG=L7iyvIk^ifex*0*p&D3jTQNs zY~j6U4h1hg{<4z26S;kvb@g{^qo1@Mv=W4W(1sSBwTtElMef_XZibtlf|VCuhsF<7 z6}{fedDT(Ap!f(2*IWcTo)Y=9EiOE(ZE3=&$IJ{*F8^t+w0x6rS=g2sNZdsV+{_2k zcmYW8zW|T$QYH^R5{N_(A+74MR40=jP*+PbZMO3WWt%+J|F=B1-3Wz8T1M%&DGOa= z;Cb8@t?Ga9m ze$IV3XQ4!KyFCIG!SgcuP9yR-a8-(Ygr<#lC+F~%AtpQ*9c@1+@qmN8_u660VVRy` zR3%^Sg={ckz$nNDDr|s_Alw@tWB(Chqzo{ikXxmK-(4gEJni%Cb{uAXv{emq*@;W( zHDPhVCb-M6T6%^_od7?AZ%Q&vwKNE50)8RPgdUC*&0NG#lB_xzEj>Pvq$=*niE295 z*sjxb5tn*$@`hDrI!DIS@;jlip_grS*mdYKjuETk2!ELRLpAV>*JbJU#GkP2Lww?} z2%zhNCPW7@+9gAkT_|o}#G^))iv((6A%?zBe+BtDC(+5Fj2NnacU_L)T02SclU9@q zgtbDOEy+wY5AuH6`MzvZ3}e*1M5WS`bsfq+S2joI3vxA-X@UCLi0o^?vrXGG2XiTk>P$yyeuOaknYa1Yenrgw)F&nG zlp}l{ZTKM|)oI{^pdMo&WFsbzq@yOL+v>?oAcH^6+-~;7jHe*+{?X2SL!8j#( zU3M;5o{eKD2C&%`qQ9q46X z5Y?OX$C)>@Fp|q%99*Iq*@2#gC{ish=_d@g%@wxSr)@T70g=rK`gILamAj+|JT9pz zQ>eXLYO-zsRnWU?1n?4yYwl#nR`+F*^t7G+H?WnRIxPf#>vu|aP)$Qf__(3C8p44W zOF~R4j$B0)8ET*K$v^_n39TK+JOHj=>LslE)E!CUpH2tzt_(P0c$DkDbdI3LD%sB_ z_q6A$tRutHQ4IENakgI8K7%GG5vvkmzCPnpF8T_e3;9*JSpPc_amai`TkE+xa_CqJ z9qa!9lM&ZRTQKTbE=Z|1Umuoi%9U{=d#D@i&?J{UZlu~82Hiy z>0PH-Xy@MqMJobk(#6WK%!7$!6N*J%;eqsfn)KcAf=uprSpD6nFSTz%;>X~hZpE=Uf_h+#rAEa) zyy?A8FGmLW^`ZoygU#zyC{N@C29Eb*cO9%2m1}wwE-J!!BDDZQd(KVAX*X{Xx02Jg7{!pX0T&Ga zK;vIosn2XCe}ils5|LF6;R(4(=^`U7KeMw2Mb#y+n#isdT7PvUf1K17F#N=LK-T^B zq9Qx`kG{a7&u_^((t`I274cyi<6oj>hgHHTqHhW>-Ejqk`b!MBX{m1`N>Q|_eugCa z&ziOx`Xf_Za~=hwu}&Ku;`K~UX@&>&IOn8_MdT8XdlGgRj4ZMLx+}hwEBT|R39=^R z_#y9Dj(_cc6rF`%6J8g_QB;%=K^g%iM5LsfsYr>mKu0VCQd;9U@+Cd$1uEYr$ zHU>==y^2(u>RIKS@&{sLl{`lUlgtjMO@3ufzQ-EL=DVO$g@v}sxB!LlM|ei+p7=-r z4gLYyJngvhT$Qt6HdA+cMUvj^aCjBoPXj!lKbK2?y7LWS3l_%COyD0AYie-EJ?Mj? zIQa)vg+G65N@CHB@#W>5?U~&$)($HNAD4{arT0cRYV&W!l>llGSZ}ibhiaS%Cg@Z% z`2&=hSchGsU4Ex{zfTi2W>wGoAE+9~=W%oV*gV@+RZ!*C~kSov*c#`Cr@s`73n=Ep_6W?0viR zdq+KumBpP)6RiqmhFk^qgY%chO4v+wq2~?J{;}-H>LdgA*FQelxT|sRY=62ney>cE zWu>Kfjn&t}8vf#=KS$d`Mcsb&&CQGFElc*FBWa~4|E|y*K+vxNBAbogDTCT=Uvit+ z_F#(^@jrnY;rh+B=HElQjPp=;@SRo;Ul1xjkI4L|Vn1f3>F3bFef}!FBR zREM!7B6=f+|IzEEy+b#PQ*?O$6vSmxkoM@jezrc_ZpsB;!V`8UJ*=swB~Cbfad;v! zB=IQe!S^q<+)4e=V0o`AUikn4EuYkxln-WEmo|0giG=t7mcU=S!#lx<<;4)bPoFBr z>5ei?r2=oX3l(4ZTh53N2?6TcG^!T%lJ-3oO-#FrC|WZcqNG>tPKz?+>~&d?(r;`M zQmOjy@ox#UKt|;a3YYg%TdXmQnjZAiu$JuH9Z*BBZkzq7uUkG%FK4RpB-206pKP^< zmlduNEQOinAp2;`5+Zu3aH(EHYT+-)CEFnzxsTAPd0DIZ?Ae3i(iie*=@;Kj{(Krd zwBfeZ{(0{Kv(*sWJuMGVCGPP$yM7OjoT;VBv!<;qL)$QO8PC5bcUClp%M>JqAha1O_sJRaI^eT!$LT|X3wTx*($vsfO$(|PD zJOf~G(}x7H&`jmnzyS0hO&=J$MW7I$2zU-W&9uYZpL{5N?6o0hksqtwIt3KSTKa{rO^ zkbZLeCy8kGYpytIiJfHJK1RMN({pm!^{(-etu43k(Frv#*PYXrHYHrrk0W^aB#pZ>4pF6j*> zBpinRC4cOY50%}J&XC;xj-BiIkAwr4blNZSJXWGVcEenC1lYQ8n7#2~)<1s{(D?Z+ zJ3xqsTtaOyM63wf_Clo21R{3Y}GQNy) z=jKud7=I(7iFs8zN@wXnOc>3tkm)uX49D+70{0X#*KDg19A&Ymi6(5FrJ_8YfU z--z!9>JpaV)uDNNQi@WO4Qei6n(nHIN`yh%WT89FW1xMa-i4O?L@tr!;5=WR#EcLX zhmI@$h6S?CHGrsbjU$HW)!ZWUQ5)Z)p~qTibKu|zryAokwNIU1WDd_Fp5`1~^m>~< zEI{1&X=8M16&bU;x2ZmuRT~HP|7#iDe%z@onByjYD}(S7?l>L}c!a|11j_J!Z$Lr2 zU4VHc--|zDhP{$hd`AKgv&!<$bFZyO45wv#i<|OQ@ZLVr6L2ilbA$`EvZ>wn3CMWr^h-a7gp5bk>l>>GJRX zZBqW$bH8vteH~nA)DkYa=W8AA>&JM>uQ5LSUsA^oUcMViKpHQYPlu2_4ZA}^<(2&u z!x&V*q)p{u!4lpF>v&Q<#U&;cVW;BVJkRAtyZEH*jviyIl8ZpDl8{F7P3{xYA|c~d zv&FT-ffFQ>4sqN8^l#Y>kXqNKff08Ub{vs`yzM7vz}9hQidF`d=X`I_z0q`un|vb4 z9uSg#MT-ZWr5v<)&nw|B*9CyFceVn<;u>PRKB4iDi_LLWh8T^ZGM!e!tov)@UK2dT zf-xQ`6~5GEDtHmT(Kyi|<}ZU8d1K|l^DlsVg_X5}f5b=5^0VY7$?s*FAwB+*8#vfm zUU{9rCf8h@I`mw5PSDdQ@^(1`OK@RTVLIB^7@5$`8lSNcPh8ya6MoY!_8ru>a6>^Em5uSyrn%^9R1 zIe4E}{xo9_#aw9rb>|R%t%FPLKQM)%UGn~{KvEa^n4cRv7mAp_kD<>TjawdhYH+9S zZQ0m9!KPcqy@K4Fu42jKsz;(%_oL%%+)3;W_;P*|?wGUSr&CSr-ahqRTO3&MV(j9< zcnyrFKP!6R3OpK?X^DVRuk154!mi@7jR%SyeQFozPhAw%H0 z!J9%wdH+Y4!V;wR!YPuJr!m(CQSpYiF{hW`j!wJ8)NIeWaCUndXl~r*li0Eh{IJF) zFXrsJOZNZG9U6*+9+qJ!u#brJ%R7p~fq!9ZkAxIo`3p@8Nf?C6gPowo9ZjAi&3VRu z8DFg~o2%^pE}wPpdTRT3V&Kw>*mW=8#KN87w+O2s5-(Q<2tPIxy%Q!cyb++<7s!)2 zfW5ckFNl~yuN)=2<_=W|oGMrTwQa6h5*Ik&He`2>VfzR_qJODhq#{z7CfKoY`LO;a zl7F_$!Zpk3psLDcE%C+zqO=YV@h1wKHW>SegQNag*|j+2BnRlbl|kJ8Nk6k7vVD-` zXZCUzMd~0wm_a0nKMz-hjz^56?xJ&$9G&p!MLPE9M4wBRtR}zl)XK2nR_lg4!;^2` zF&Rj4K#VCU8j4_mqtC;BdzM|hd4UBGZ<=9tmUKh)308@8?~7X0ib#_9mw%>s|FVgH z<~Ag~%fE%j!R_=jgs=+amrfs%JM}3Ogn+er;lmoAyudkVqiy2)epp>?`7Oi<}x83p*X=ROEm|MRo?E1z8365eZOVmV4i=3g_{> zu8$yLMA7CWoNLceUR`Au+w?Kz1M$sI)t|v=l25M&WRQ(`wU+ttk;X8iZ+Sx9W|SOS zugCW+C@j$j11*geJV#YkYbGXI5w#|!&AN~IYshQa-zKPRbBJZ{|0Z9pe2HI%UBKW( zhcWvY>n%!U7N~JXj6;RsT-i!Hl$0nZ<0l>=I2rymjwo>0aLE(g8jtk9yTJ;n_cR1O z%Q4{WR!#Vnv}>*Mfre%4xzpI|cLQJy zblnxj<|9xh)V^Ltx9qP~N4frLvVeHI8l1mKtpl2ayDszYY*hI3KI$+{G`E2J;3;xS zA-?aSA2YR=qn(B)Yw_d#D7$r)+(JS(ppFrx4aP<<2otgF<3w80U-i+3zV=O+tU_Nu z^`Lcqm~oeUb1H|f*;Zjng*k-r9Y!_Wwd&9-hr&b?*(Fhn$0*xzGTREsfL^F2yP~!z z=MKm^slzg~d#M+Wu|>phz@ahz_kzFnoFXYib)z!=l~s#tL@KSi7vJamLWaax?ASbLZfSO`+KQl4t2wLu!VT-%rlWkf+#QL+NlkVn2li_SLUB_ zx65g1Ri;31Vnz&1abJI=4Y(#Z7DcAn>Gpi8NhlLWw62_M?p;;kJbn;Ag9T)5K#>+# z11{X_5STs;Y4M4c;NLL=H+R4$g^ny+JfA$Eb;$qJ^Q4s$5m7ajiIUQ}Da^P4q3uHD zCDs9yIAN96wid$F%sW|Vrf=X}-M~#Qw*%!fi)|&g^4N6bAZsl@W{L2iuL=^~ox?!Y zM+jbzXU9d2_pm>kXo&EV*)bPi)_B6e$oT##1y66FwGS(esmM!>9FaGpfsKw}q;Lew zT)01f06Po==>1n5K-!(5mBhaG;lsBgt$!$^p+@DgyFDfT0hi+v*NmYSFD4N&JSKYi zJJIg`@%j1_d$T(j>Pgrw$_?4PV11YPx_EKUh95A~v%Qi*ZH{hX^7-1=d&|Z$T6nH30RLD6n}rJ|CZTU+0lPRzf2Y1en>ao@ zZ#Ow9q7aun;c16GrSzSmWyPs+!pRA*_7=w@0;&BqCX%F4{+iE2OHAt3ddnFT%lZeI z*73C}8w!wOWDY9?P7@LKvfbzYnlc*=PTXI*XY1qdozSR|GyhpIes%5ypc2T3)0;zF z#LGJ%NQ=9KQu6la7-*KYg1A_CMTX~}schOWaZ#iye5(}V``x6}p0rIy*;T>z_M57K z&E@+uxz-@JzJOo^r0vZHh{iF8)}Pff4-#!O*YN}b1)|$pewDDnus4JhC$>8Ae&${- za9ke$vajAvHq%zlNJ4+9zbmpI_S=adGt=NoZtvZ1WcIy{aTMQFw~COaUQB^vnv<*kC2KGHy;P8jZ%pZnegm8PJr$`a zU(a)C3JST8*8=Xo75vc3yWK)El%({7&caN)U+pA{9MRhD72>r(U~NCW_tv7wDIaQ( zs|xgfj6qL8kD0TXCRF!?s*}xKkAbBxNK9+jo8}p<}ODyK`RLvMQ z{guJH4u&%Tx51*3?!N}X&PbQ^_b54%xR{d2Xpzt6VZKayzpdCj4C=ug`ZMSJ=3?*b z+GdD#=_3pV(;JuK=%%)uPI|5LfSW|^2+xO}>dSsH%^9yYam;!5M`l@ZXd8oDcC98C z!a!6cIrTH-&bm=92xnw8CE!I(4N>8!S*W>wUQ!}jXF;?T-nAco_oT}h`UkWYe5s!MPa;-}Lv+vXWH=#~EP8Z2BB zuf0Jn#VxVGWRdu=)`%p5ncI>({I4xfW+2k|M4gvANn6kTYqryL0opLqx?9t!PqJ~7 zB-7G(n)cp(MAnZOA6=jak*hcXu0J@4aPhLvNl{K!5t8J;C;ybgk7=jC1L5|=e!og) zgvdRR6XyB@r$+M2X{SDl*Far($q3)W#a(n=6=s&1mOlb5n)P{5{QaK76Wder6s__x zz-du6wjrKrKbs4qRYDX;7TjE~n6gCG4 zELs_xc%HXUa-A9M2cMn>k9u{`PRnbTIe?)&bD{O$PO@r7y#%`k`C z1ekg``I+cnq^1SLHwNL<3h-{Cr{e zY+sftZISXB_OG&XG0AUNA(x=`pA6X-rl^ zstmHFrNKG=r&-XOLDzIE_H=^RZiY|Xk(Z{}2ed^MQd3wN9a=uM#uX5$G2}iG%37c- zs?HT#OxM^IgKrvz7V8hL@W1ER>QKF$(T%O726jP*+IbMbfZ{8RR7jh4#c0uj z#X%sgQG0b(MWyp2i{(rQu7sN@wkwC)yHCrcBP7@RoG$t|kl76gDghh^HWlYnagZ>| zT$k1>%NTAwb0$pFt8{zHq?adSXyLW!8&UE1qai8%lK+uB?V!T#_@ImWoRATy?b$OC zdn`*>%Fb|X$zH8&{Xc=4&+ii73snD2hZM!}nyr^X4FIHy!i0&h7hzr;ic^TWfu^$x zJ!JR(mdjXpg+$)VWrx$jY_moqcWnoSwpekftqSy2#N1s*oW`C^H&cwH9pw;l&-BOe z99T6-=)3}8R5%!eQ*ZfuF2VEWaTnM^j595s3zvq-3F;ulF!mhZHm{ur;i8s$oc?qn z4rDgtp10K0X7l)s)QhUtUjiR*d)tacEbXo>_+VpdF>h*ud#6lxK{SGY7&^EnGBm?f z^@DxvIqvzHFfpn#N-qyyo13UG_G*(sU>j816$nt21^rY9EB-~(FYWT(NyqLT-DxD% zHmh$DjDo77_+^rq$}v|cMS4GKRReush?DR@lXepYUwstt@Wr)ofh0Rv`y2ynutIZF zqM(c77vp7Z>V!?z)Wm`fo^Mr~yw7ud0^*PHf|Lp$;PXSCS_H#63=zw?{}mL{@zh>Q zR;$V;A6M36^oA0f{9S;Nyu6a(-58tC%cP>G8)RIZ%{d(Y9Jm0?t0gl?*;|gK)QR-+ zhRg@qMtXsr~;X}T`O5RCDjemM_ts(l<(=Fa{+66LNo&W(jLpCYW8 zxEr4`ala+6r=;KbWRRl9*|Cb=iL5`Sov+v`yT851t$NG_4R8ON{-N8^;=nBlz>j37 z!@g=YJ6l|Xw&5vmO5p3US1vUrqZ{zhh|~SVWp&?e2L_!!@j4lSNsxW{@xRR7 z5J`REHk?67UFXQJ^!U-G(+2>nLnRL;j-Uc=7Zc+zN39PK01u-8$-rU)LkBG}@`ADJ zIFj)zJj_#@;-E3nL^H2F3)={-JHL-Q{*!2{6vbkg>roDI9~1Avd=e&hm(1`{a&2^*b%9f1>YVvk*MQ@b z*zm3ZIVAO=2b#6NgJP0{zyJ$ZjcVhC{+?IiO&*XH$|)X^sHyw@CJC%JuGIwl_4;Sh z{;WpmN8gGG)y>q3;>vikIDdd^DR5<%agV(Y!N7EoGbq(Ll(WG4qcfXzbY z;GTCB5Gj|ABBAY#xOk6JW5|G!E2RYx+HG{x;B>1IN^?n5ZVFwpHHwle7Wm*SUy#I? zpK}Y&2DgXKlfJD*lI0x(fU?XUb7gWJbFF`#D= zUMoC?N%d)7)P0rPk6#?eJtc0g*@XKzaJ=wv#V2ON8FNt`bhx06&cVOg4h>Tb5^a-; zJ|i~HLMY_gucL_5S-5MPLa7rY!Vp7m1!^+pAc{_*TyQjarw`291+SMvNuW7;jlSA` z_eHu=*t5)qp z--`IprJuuDFK6sqynTrE2K42Oy;=`RTe)6zQ-kF_iq{jj$l>2un{CrQ71?E@Nk_m2KA4lZP5r0^DK7jd#}yFJksur9oK+LK}HxuUAwK#WYP$_|_TshGY(97b34C!4YObg|R3c zzt!@uT)2?ZNAglI?=!W#2Cag+#J;k`olN3k0+S|^5I--3vFh0k6a*{7@r%P*>Sy`$fN$kzlqBuvk3hvu~`vdl+lrsxCily?M8~?RqkbKs;(rP zqkJyRu1*nf6n#GKF@Yi^Q1p!lxxaK8vZG?1P058^8L{ix|F5sb`WxM7{`|Geoimxr z9IPeICjhY|9HscQtq$WInvZKWpZ>pSOQ-)tqujpwfN^RbxC{iAX?z{yhYX2FA>`S(tWM`%m*0#TbQa~b&YZ8)2o zam44{Eo0x4?lxcBS!^6Ue%GIv({^Wo*bD1I=7@WEI)GzN1b|++O%<(y7_Evul9#h7 zFkMu-sVL14G(X#>s6J70a;aU-v?Y&y;b%uaRL??)K2&7(m$=E0mngvV5jhPUI8YC} zBIW_4e8ti!GUpR}U|Fe|h}eoMiI=yijOg&hyZfTnIyf*A6p~GIQxV8d7*o8J*2_+V zp~?w9TZ<%eC_Y_FPYCr_m4Ldi2liiVf_BFXm+QN{`?$28l&QCe%MgVxPtjFzc(-fv z!1+bSZqVcLb=PR094d)6RB}zp-Pu?Erq>p-du5T6m9A8ab___4N zCW3_IE|i|=jPRGTL#)Ms>U}~ymv55h`q{Zd>AsPOX$ubN5+PS#h@|wZV;m6Uql_E5 zR=`u^n*Xc~c>Te_x>|%I4)gB>UJ*TBc7|l~N!;Tbpy4@`RY6Y?VGdA#o;s;K9z(Ug zn*MkX3no18fW`>yss#MJBr4eIS-SL#XD2}=XTpc$6;3T{heUQ9F#xUQVQjetfke5A`hbw zj}JYGu{!$AvviSLP5v#finGJ}<`-9{`AuZtU5S_=LEwALPK(wW-9@709%o#(B4S+HCJaMPhM6yt-c5nq=KFk%TRt^!xW448mIo z!cJKf*)=?s)EeLdm#~J?xCL@hXSg}@*^0Ekc#+=#<2TxykvyKpu1H~Tp}lhomY2;S z*@O!{ps#!tm)2eG^k<9ZE*2kR=bzl_>gQi?#w&T+r)AX2xvd$leoGI_AA@#AVu$>G+mK7j5#bTxbFMsMC`4eulV)o^Bq&d z7>nKf&luhGKlUr^5+?GykpfCBuwFQ`I4hi-7*?5uxKX6}@^5KBQHA+-WPXrQ>RrJ& zi1EOjf^^lNb+ZHds4Bzkmt~m_4S}()u5H^Gv1gr#7n8mL95!}#U>9PxZ7hYrFUiMufUv)CK9W$x)Qcf6RYcXFo zkNn&kw>_(>ZuKFVwmhNU540`k{ua%jA9k-LdSKC0cX9N|xZpnjP*0?lF#GCAydV?% zCH>znSFC~%|BkO^>Wd1pG%>JO2E~roauMZ&D8#j=-b3lCsa>5St>mJ+1?Q)5eax>9 z`yWeK>RP=o?XfkvUWgHC;liL7^*gECTgtV|y<5CV{zH_{QsxG=g-8D8ng{>=1y&&| zsh|AZimu2)MK;+xqx6uI4vZJo0_8QX37+?!iEf@f{PsPDyct>MH&N9)u~eB8SPdLZ zWRo~!4E)O6Xlugs zL{%^pHc{suYq#5maivN5MbLx6qrA{RW$zg#QtEjc;*EbYJa`s9I4$3_m8$L^2`&t! zD{&u_AKi_U0y}V0lJL~tJiI#XIj(fjSu+Q!vf=YN$3Bw0O&s_a1+zgYcyH*y+HP=a ztE?r3qRXqTJrp*&Md{x|DSK6@4eg%(YVf+^2tQL$S@j!|xEtl|MN5{-Od;AI-O8Uh zsN~Tsm8r$KkyK0_OZTeHpFcP?4eOI7|##sdAP1XgqM78$Ysb zV0dZlza{c(NB)yh@h+UUyp3nwoJ$-1S9rZDYR}IXiwZdB7Ik7VSQfl(%v1hTEzw{| zw0L#%o5*Z?@`vd4ciyxAAlg0Qy*~W>iuol7h4&{*nj-&^l-jnpW=qdkdtbgY(Gv*< zni3zRyydvy_vrB;qV9(lrzLk>@37{i=DBqL@VR~A3Hyun2HT&c+^PcW_<0FJ>4uoJ zk=a;GMqE1)I3TQ#Zx|7cx1Pje^ing-_pg0(o;l{&)P_ErT+*)(gCIpzy+4aZ>Z)7) zimf3HHRNV^8g%P6{4?PZVa9Z=TS2>La-iJIzVSpuu%fcersR!-7oC)_gO_X3hcw+0 zu&-SU*63rR{K)5%%ZJ%k6@g}WrK!cafyJ%uNHF-%u4$X0lt_Pzl0B*u zD&skC-;SmZH#&R{^vncz6tG1mA&(gRWR!X+6Z#S4!vtW8A{TEA{tcpv71z_t;u=h# z#t{MO>NDk)D2Wx`E^kAa4~yx5rCVt?$mN=~G44E@kBda~o_4AlOe)qGlKi%zDHwI} zb>lEYqafD*jZFbVi=|9rs)mEz5B`8ZZkxYEXr*O_XZ-xYfL;r}e-c@8i1Qde#X!295|ZWdU896MXtH=uxq3z4Q1r|tn*}7T>DP5!8-@qC^@B$j$iXh|!-ZoQ%PgRK zahii8UO!7-wH)&2wATqy79iLA!G>lf;;dC2p~`(o%?(Q<@rWt4UVl7P1wu2VY;ZhK zvMX1(h%`LeKLXM>#O)&wJ~UI=aB=RZT4-i9{N*%YinFl+kB&d_w~JsW*}4p&cV@*J zz{yTj=O6)R5d&Lb1P0WeTN!r&D+3f!Kfq0CW5g}q+#C5A6L`YNMY)-_^N^|jMH~fXbx)iA~MC1cmoV@UFNY6Xx zU~q{^L9bKxJ`-Ef$`>cS5u+NoS(j`LVGr^Bf-Ixsg!6lvj$#8QD*w+>R{Wom5Lfj) zBrgu?fd2oGodOA-Y6Hx1xM#bPIvf_`+sING4CTg{@h2FKh5@hDbtHYYLT>Y) z$zhw?tk$nbT{YE?ytJt+;E@%7PRWB6LYIw7A3XU^i0j-amOeJ~!PCoi4sEzDl=T3Z z&g@su@oW{QkRYSbPBPUNB`5DgwJolwZMk389wZ87FdD-`F~KS=t&_O5pD1gcFjC2G z!{TO3e%{l`V>BQIuxQl9@OAt!9+QbZ#0dvDps$e~%}CeV_8l+LM`>OPF&zvTudv1o zSQtgCd$N)hNIpiLhdlfs=IqOVS$tElP29Hm^KE2lcfx88h_3-F ziIlmB`d079z|}S5_oyck9wC`u0;<*?#`Z6}5<4Jae;@*a_btWW$<&|5-$geKksr~LX@`9idOI2m4kcvRZr5OKhFb& zzBD+BTL@F|@F}nO_Gk#pIk|FOze9t1;}_Df8#p`c$@ktDy>~_`Y!OuVp(_jW_Zb;XW5>gf z>xdel5@5?{E#F_vrL)QHA9}68cw#%Uo&&Gn!PDtuqbCBN<2ZUi^+w@jz2=gWRH{9X z@kVIn!Wzr6a`*HGiU(sqJ*)!g`&wD;XccwyT4IyOZlwpV^<~ql-c9R~>@n2OlLO{y zo}u=kvN+wdxW9Y0UMB|XQzfj;g6pV1-fISRHQ1v_G%FI;TVjnq9|)G$CQ6mG*6e1^ zD{P;Ap2a!?0pp9f=1MW%^yx(Dj^bal!;H z3(00XjyNjV$fCQ%b}+Oyr^@j(PH`NqTBuA`Wpdlu)T&C2?!0(ENNkfL4mi+Xa!{bM zU1G+hRx(-+QFJ(iPS#g$#267Z&l``hEQijZuPggv>F2B(n!jBd8XJYVz@U1tEon7Q znAmKM-DJYo)1Y;wcq5F>=uR(yd3W_Gx)Fw4qf;%s<94^P#vWG>(@%G<7D|@>BL|ZEU9|y(tP052W{}zy+h> zok0i6XaOPtm~acnxi&7EZ%P(6)4--@!W_wCn@W=OcR-MPuPt>5kj}vv_!v@!fcJ2O zK_B7G*^V-OF@T;VT#?3<5Ema$Vf0NN)?C(?rh4$g?SYW@BW8m{dpf%@d$!)YBt6)_EN+h z+6egO|K=JPxM*<;r-UIho)IU;KNDziK*ZW(f8gv4i!e^LKC>+IVBrjR$6wjQ16u|b zouvPC(4MckW@8C*7+4lDlJ0M_xi3M{9sA+-0f>vE$ne!S{x2g zFx{-wntJ-v`lb33oLTyLTR3s#1&UUDg*Qc$g&q3~u7mE{U+}x-FLf2nM-)YC!6qBT zr|S1yq}b4=(aj-_bR=V_F*ma!{A00!uL=VwZFK6CSrmU6*bdRyikOW}|1SK~&eC&Y z(}%wZp#A=MV~tuwUL>;H(v3kcWBZ1>vpP`pA98s+TPXDAhok#`3Koe;Md`r$I7lw{iOo?P?$FwF#k2&Pb<*XryI$YWAG z4@S55bJP{2dW_)NU1Q})QujGJwqu|I`2teNjFpRH|zGfI=89f z@*EM60Y`$ze2ch!H01 z0ntHc z72mGP?Z(#6%v110DHm2{(l!n2i;F}oc|Oc=?L}#8L=jnPb*UT5^$9StyY%7d>LV`X zbZ#IE2SpxjDqLNc&zF6pXrA&t&sEP8?L><5t(jlfYe-3JOIsa3CGLK-oOCT<*hEeQ zT=mKDD}47|&x>-wmc)|h2WDu8jeoYnOT%fY$)6D!Aop?hY}gYX0#|H4kz1MULW;;T`*6Pp_A5~u% z-+RyK-RFE_Q2X--e{DYNb>7Z4W~Lb4^xPKD0(QIY8;%#-U!*n`bU1L*)T9gyYHV5P z3~X%yeaM2OE5o!Y99JLCon>lM;u7V57W!%Y6dLAstNx^x^hyhdUKqCHQD>4Us9c2D z^~#Dl0&J!#{NKp>CYdj(9Y%6W(vd+0tyOij@JAdkc&e!a7#sf-l>hqC*l?7Vp=gfS zFAY5WXhSvCka-elD;$&DI~)DHq-Ys<&~oP_GpB)1%0->);nKp%3aoe$f>2u&-fh`R zi#q#`P_X8p*iU#sZn3D=ShI=mm9_c!I3|VH>2d$m>{=MHV1@)pP;6l+_EWQ!VpK4w zAlH>*uQ-X%Ob;F>d|8kg_x(I2-fnRtL47!NQ|2?VIuRrKm#gzr<^C(RJL~KkpNX`b z5UY`qK=b^xT_+_9J$(b;`qVgKP;&~g;8Wo85cq>qp3!=&`v)%W_a;Ij7aA&BTiiQT z7vFckys7%~&k9X|yLy%Go7%S86^}^n^?n%*4~R}(jt=9UBe{wR(q=4n;?jkQlC|^7`OtZdKU6#s?mNjgT53a%+*w~djI9UnS^^;`q_n%afeN2)x z>M2p;`1@dJ6w(~VHyxFw0UA--ul-ADFQt`Q)`$iCH*>A@ep*QA3`}Z>c^V$J~4RS-x?moC8qKm=ghgLH=CF# zs9xQ-TOvlkbU;{o#5pmOO$AL>5MY3;;5R1*&lf`EdRxXr&cI^&sn>e28LK#zsr+vv zw*5{wZ?{zwS=N0Y*2*k&(6G|Ef5Y+iLT~N0XeC<`={tZ(Pp_6{cwJL<$9s?Bon}sAcF08DDyHwX=Wh_-Yqoi8t)@eXzQnYjbEJ`4)XX|y@kuHPN9v}YB=_UwupvN+iC`sa&Lc4nH z9?);5t)`(>|Ebm&7KgzBjEZ(~RmP!J)bo@7NUC$E&!sK}S2h!npZBUG!VJwm6~{J# z@h5lvd{uN=&Z=~W3U+p2gXpH<$eplW_~$>FbxY>XM*%!Y?L{erDK9FG9%6NF%)A>S z2209uPJS#c=RN_9nENZuOeT+6qaVh>HmLivZeOdW8BVqd{^nV!XGy6Qj506(H&~E% zQF%hoO`3kee{1?7fyT=v0%V&dQ89d*{P^kR_xR8Erk2?A(2U%TQ->^9V{8^+m8Ay) zw)eB8H-D6-J(t>5{To`7x-@XdsQDpBu4bS6)tdemKPK*FkywgKj)sGkh90g2qol*b ziy;nk`-(e_kMn!e9SVP}#+@6LySIwtQ z!Kq5*oBoO5Ag66}C9S3?#IsaVx(aeNy4vKLH23O(*DCkfoMwrt=IfmrT*2>r(fda( zf>nZCPh;xCRu)8#SR??U;9sBYM<{r;_)P45e*t=l-qw1){`@69Z=Zni=dk+eHW8#` zu==%1R@wZ@kP}6J@F?v7S6|8)jz!5DKy3J7_w*PHNN+16+qsbOK~)m*F&vL=1JuD_#B|2B(X8u&Rc*Cc$WJDD zU!gIc%g;LI^9U8up75k?xnhnU_D7Zl4zzra&CG`r%pJS5fnj6w|8iPMq<6LjR;0J9 z=a*%ROfxbZ%oU9OZf2QjkumdG?!SK=lEbex4i#+Io){0^^ zT^*Zh_o-yN{b1!Vb!ur^gz+=Ecfxb5abloxyRI8PFhRzj7S<7K%p2!P@=^MeiPwuS z?#)cgWSnr2pi6}~$1RAQ>Flvy_n^JuMV*-$Rkn@;_nicpF+}}~JIVDVz2Be_ZNBJW z?ye0!c{+uh`k2hRDGe}G|K|3U1Z1aIdRfqZo8G|H8kr`lxv&->{O^hsS%rGM4xq#7 z_ja(Mp%LZ8FuYiOZSc!EmH4k9ZHZ+trHEjCX(ijLfkiqfz43O&4IeHOJ$?dP`oEhJ_G~x2pF^NA zXSftmjHHV&)Hp~V7Xf{U(NX=i)ZIsY%#+5U?8GjOQH|f6Q)I%~8MG?ii_PfiGtC%$ zts?^eQ0F#_2xD+CiuU|0YV(PU;N69emFJar7#hiJzOl=4J3M95#r~M)p+E)hY!c^| zL2fvBAH423%AbFIRB@ya%G~i}--k$5s|w9{<4h2#31^hGsIuZmy_+tas&p<8=vDr* zubVBUxpAU|@aYDS!Xq1~=XW;F2r@XMjR0N)c5WOO=J_Ob%|Rg9?C&OxIrKTIp&Q>h z^q`|QXvTYDSL^ltlglmu(M3bT&yYxKTE`l8Z+- zES;vxba4CM!o|8?zYZM#x=G}Inb%ub6p#Z2`?AX%eeEkNy6!pIN;~rlM0}a3zMBI7 z?MjLlLqiWwozO-)XjqJJ;8XvnQ@lCrgaWV9HN{+Sao=s4J@mem`*G5~lg7Hh-^!&Z zi<*SGG8TL~t`(iVv98+Z%IeRON)bAF7Ri55+hz9q6U z#dq6CG5ur9BgK%<`|He&e77p=mtt=D+N&Er{4GjmkirEXv4|yd%f=W2rJ`6n`6d!n6UHV(RoSI>hPF(Zk}JHi3#ni-TXQ}3$fry_)pg;4l1EGxiVwo{ieKH zg1F97M0xQ8D?|-pt^X=JpPHM#ZyV@(_HBc5xGV9e_8$Du?HUTvNBYFdv#mpyJbI(0 zqPW`P)_N9iCV61t4G);HO9K*({$=2I)yk(^%gHh~L!s$!;S@;F#8@RrcjKgNEbM0M z@v%JTmEO(QjNwzC!x|Px0y819qs$J+@e}&!KN2fw1n3rC)fEY#!m;Q-5 zPPf7o3=DaiGsadi?=46SHe;3%h6bO8&2p=%10Um`5DBKCI9(*0o%XcX@#BNlmvc6_ z@ZtkWBT2Lq;rXy&Y!SAgeNe;i(sQPfFl?XdX=iLg!@-q*orSTq|L>l&Qeyo!f6wsnML6t>;qE!cWFkJ{p zMu$ms{PlAg4+$Te=U0l6?TQ;!IKOLYNFJhUASXEJpx1(>35rug#+dAv35TEa>5#>N zUXJ1Jq~ChB{a}k~Y-NG|a_w3af?Zk<@8=-;iw9Z`)4uGov(8RZS>`qPZbkj=akG-U z|Bq4b9b%9qq0}#m&?>$_>_tftpb)%6K!GU^)+u{bbT7p%f4_0#LY=#N)xPrgC;!$6 z>r*Sp;9ZO7PrNwB*H9_M0YN8j^jc;gwpi6kC3=j!=wWP4$dp@3%7BG)Cb=u6kuuJT zJb2*XflarH-_}SzrS8KUAf3k}91$4$ikClJE9cHZn_tsG59~J|8HgG;{+MnCcC7kc zWshRy2;{AKK<-7?F6uDT;~3tTxe`@$Vh#*4fZo*K63a~o4M|U-ZS78{N*hKfAB-zf zb1N(p)4H)W9xk5s-s52oB8z=@H+AkLRERTZb|rRYI`h#MWu+P4rCXoRBFCYwZvjq2 z6PI@V7H=~vuZjSo94}NS#a%-f-Bk0PD(oX*uDp@YBbMd)#gpFUSjRCxfF;6ib>9H5 zrFShDaL^4ZIgOhf0;9hej^7usfCB1Gl`meKOuH~|G<-i-a6ymwc}JWK@cZwY_0uIV z%`vDV>>kBu)B#{7>Mh~l= zyk+nv1d9c7t87_)d+> zzzG0R*zSe~ZH&Sq2~-YnDkRQz0~&eZlZead?RXl+fKIuY3(;G>JTEh0MKMYtHEEA- zo=24H4?wIOIG?r#4)`n11ace%@}S2fJVBBFk+5{U+TWfQkeZ|R&XDMC`L!Hj8OAE~ z^Vzqj)?gGU+tvi^d}o#Yk3;2k&XoMKw5>J$qI0rwhRW580O%kF5JhBHA0J+$ix6oM zN5sr>GU8ZzU0p&O#pc&@B_601%fB*KGhR}VPMJDad@8Vwq!!Gyq-C@q+h8w1jB2R0 zbWBRTurfEDbc$A=mX@;({p)&yel5_)}5>r&H}wAfp{G+*~^WJk2N>6VE`ZQjcVADc;~5AYfYw$ImkEl%81VReGBy^^99 zOPT4D*8fGnadA#$NAdf&Wo-9*$7|e;lV}lVq`VKdyjKQ2zSOgZhU|D`v-2kKcBnLYdoKirvmYA z*q9Dr^Y>v6!K>8eWSz>|Q9xpr_pg*Mm^Bt_x- zeHN5nIIVfc$U_SWSXRu9caBZchkGJ2j=S&4Z$}5G_7vRHD%)R|36**M#~!sd-yy#K zb^6F+PqR64B8&csJt*zN2zmKz_|ZcmG6^hX%FLX0Hk8{>*Wl`Il1mX zTkEdQlMu*xoUeU8Is+pYI2#qc%lLfzB^ghGG(|Ny093|Z(}kFHVAu17*{1zBe{B!& zD;nP%!Yd&J+d_SJMRAY(E0x7h#Ka%YK1e*Wb`sLZOzV4d6)wRUAAa3){ACx|pCjMT z5NEVsUV-KByL;fBi^Gk-kaD)$wANz!E#uK6wLI8z+Wv8Xg84!|2`>nBg&U^A6{}-9 z|VeSnLP8^>fPV1G5QN~=*k*f z&U+GypM5FqXZ^S7H9{||@DE{aEsa2Kyh%ZyqGy&s`dmrG#8gCT!RVTR#_J^7sG*yY zHi8S0sxIS7BRG;~>4KkH#52{z`R$KA|w=ZB}t|0HmNuJgA$!5PM14H)BB%YuN_o{2Z!j;iy8l-L2 zz|C@rp9vWs{d#V_O=&TqlhCRSnQ z{mBIP#})63k1rPVSx6g{a-;2l$^|pq#)Vq6S02f*$Oe*H{EzG%7}#eO(bxWfrR`nP z6CJ>B!>>E3!opl3=2NP-RA|668t4znNJ)-;RuxKAlKhi)y#QGfBQYvb^19tWv;NcB zRXU`i!Y9i+z5Q>PI5t&(I=in^WCo^K3Wki!YiH@jd&yF+6kkef4-VB&sy6`Em}t%x zGO(#gt$sRZJ@#st)t>`V4rUgXyH;$}+l2hZm*1=d6dvXIl1wdoV*`$UwC`Lr6O!!b znn(x}2oIA;_gq`WO3MY3?beVPT=sGf!idm&=!mGSyboGqmoRhNz!_ZciVw^q`1AM@Xnr9q z*j+-8``K0L5i@&9G)uG+X=5tRw~Hk6R&@9&l6FFsE2qQg4w~&)vCRku=D*ipNXc-V zMlmZ#ADB8CV%P)^vqUzWNp@maSae zIxz~tx9=cGu^!V61@!yDcF{jAtlX@`zwpuMfz28F*`D>DWehv$3_A;?>j-tx0<_R) z{ap;i2P@)#{y=j=^xPz5mAsX7W+@*UzgpWrVj5cakd~n`g1@`D-Vq58Z_YbE?dPdP zLYZ9^J|A<;r_w{o^^0#?SKf|5-1#Tv=2`r}1!_gK8N{mR|Iw{hw3`+Ot}Phq6SXiB zw#Y8}`CorctN#_}RSRDK3+wqNH~_xMz6(1XR1ul2CN*T6hEzaHEwo8rHoyKK$4p4i z+di*cqdX-wPZlSo-`ssh+w8X?z~Zp!>yzY$_Q5Gg$PbXz(u(ZBPs!*>676e~2{)>Z z(8D4hT3^Q8C}MMHz0)~F_)KJQzxGZJN4SCaXN=Hxtvm!db=75-Ne$q7FN>X8NQ|i8 zLp#;k!O4t_D-0;AGRA;;CMjF9YI63}V?IW1?n%8#tp5z~6DDt?yMUs(I}!Tv!{Y!K zg!~jxOK17T0`qA8Db&5e)s_6j=L!|Hx8_ zoUj5?&e^nm{v&~w6@@0)4INvfm-4SQz*U1^Z80$tkdH5xl}bX;Zv^tAg}&3%^mZ$6cNE~efNxT_)XEbFxs8J=jEGvDLj zm;hI?>|f(K4oO3tafzY6mIV8mh3}@O`UA1T#N^Em>WzW$M#({L-X1Hp0K#p^eIk{DAnJ-|BEzOH3q>(njDqnleN%x)aF(4U+3 zeNhL?Ul>x?s9p?sh?97PS+4~ITd&9oNa9D508{m5Rp3VPf3PdSs z>@LeZmVWB{IEqmHf9(4PsXU1T-U}nmh)1f3RUtkD9Mz z99A?do`L(wjszJ4vYVzuFP3>MrHiges(^^Zu+jBrgiqm~$$ki%uv=JAA(p|aqZLgN z88%lu&Ku)DYI*#PKfMo-Dp03cM-%iQU46TK;Lf5Kp9c1@TN=b$7kI>pLT-g8isL2G zoQ8kQ&IYc{;w!uDXBsgnRPzTtM_ZgfbRM?l4!@V8iQA?gi3)8uZhVtuIUIW5jcbYx32YRgFVD?_&O*r{1{qs#0)q(y z(IE&)da(_(Wb-VNal=;A*UasweXb|`wu36{=1H{hTf9Fy{NI8b!ut*wH2Bzii{UPw z1uYi~;>oC9Y8Q@&v3dvG(_#LeEtSa;T_^KXTX@K~-O+VNs;a;lFOE$l0fjmf-gf4U zZ1kJ?Sa%k27gznU(UN9~AkMAqrcdnLhj|6v(qY=NcxQBd%Za1OZK+`BqI|Y^RRkKn za;#*Ss#?1dMSQTI&o|r2uKwe%Vl;lkYqo)=EM1ua*h(I|%SP5;bPz>SsW-QVC>xAi#dvugc_I0cOb5&VnDs3J9G7ARyHmcS zwu81=MPc?1Y4EZ@>y#-mdmMP}089r3?!|at5IGB4BF8lM)?w z8Vg53Zq=4rAkR|wyM)qf1(&%Qk#4DV9u?%@_G!7XY& z{H{YAdLq*a*-|#MIai8%JIzB!W0Gkn9B_8?@#*jW`jPKw8-Q&$bM2J}HpXNpB3N{7 zrL@zUAp)=6yR-CN!D)K$*F5xH2__FVxx#HV2W`kR9;JX_ru!%Y#5rlh8u3eu79bL0 z04o!)mH5m9@^YPKx=L2zU7x4tFUzZ(&_08*UD|5bAE`#uT_ycWo!&6(jQlHTFEE+o zRj_az$=j@At*|^gkS~@AMv!WurL8oJ)%# zL?<*}3!e;JsvnSBCr96&TQ6}54Jxr+UR@exhDo)L;Ec72T5sFK10=Q#(f-fIL#hXl zXg2j};4|33-f-G;UMg%ba^llw{?_^kL!R!?x<=tMXZXG6qyCd65Nl5tzzK-U#I;)d zVj-Pw?PpuW9gE_xL#W4^4s!O-op9TGG?C$Y9bKO4x}h}MB>T}cSnODKB_5if{X4Ne z(a42bi_2+Lk8U`{?5*XCa%1hm3kjdk_J><*!OaWX4fsi<7&QKH6E#YsBMO>6v77w) zVR=}nxtT&Y`NWv=Rj!zIK>OEO&%iWvzEtC<9s$SirbABPh_PBbF`qt%$^5AzvXzfu z1Y_yHW93O;@abfHj!T?GLx+p$r>74~z~Pp9j~?nNeOte#!beNrY6kpw}~D~qa&dak692JvDN&i{>UU z%mf|xj&o%<-@6U*9dAS#s(oh|shiQ7kBt{X(*`cz;;@+?YWwnN8g{0-lqJxV#B$nS zC4G`EcQa6&fSEY=dBg}SefHe5L>>jcIQ+g>;%ESs*=|J^q{ zFV$E-XunYKTH+24W52A4)xUp51pxT}eg3C!hSdV=#Q6 zjgDv8P2BK{t|q7JN=sz--p`V_g0^&J_b`|L7I23B!I&=8F%G`YjJjBBj%j!^<+1#z z>OMK>v2D8TtApqrc=5N}@k+m+Z^1I&pjG1 z(T`7_DD2xIaBBPJ_ke#hmRE+%v^s2i%zeXfQLamn7F-v^$J0f~Db8OwpDJmcRFJxCo z@26imzqv}x+u5KQW~2U*+;WTdh9-%f_6sWJ$NEZOn|>dbi~(ewp{LBH2Kc4k>HKJ18>iyEVu$*(it zv3D!njuS{bUr2_?qez?Qf5gYl^G`)5<1x*C78b6T%}I4t;ckJJdks-ad9|Z`S`WXL zd;Ea=gx%us$_D)!uK2som55}(`1=kET)zp-_&Z-Kd<-2k=D!)habMah<&~Nc|5%dd zDwow@U$x2Lhik1AnBP`z9D7M?humc~hmGd%VHxdemMK2z!q4y+v+*!sQkE!te8%2( zvTA>L+Vw7wONfSo8j?~(QZWE`+g$ycfz+~jW;m<)0N`D0-RbVB3uyGqpW{)3;)q+R z{+^jFZ+O?PH07enEKtNxo4mIV<(Bg>Wp1IrR(`+J*Rq}2OgeogwVe1(oiX#T;aE`3 z^=OZ;yIJ7jmSjv8w89$euGik!a(29I$W&cyPKxlDDapRGJGOFwDDXe(AP%d`Mw|eBnVW!{~o7ex5RW?@|r!olMw!>u?9_#nIcdB~E5zUdJ!2 zYqn^<=f?HiywiZ!Cm~*d{Y0iZv{<0F*!jLhKzq1Y(9daSP+ZaJu=-7%I!=eE%9m(n zfvibZG|;5811*~sAI_&K_O+;e$3A4ZAfPYKXUx6(B^yI48C364FMN3#9P~7&ec>24 zNfReyIY?)@WgPCT2wMA(OdIXz$yfPO=<^HCu(d%ipQNMx?>_mBtxrw%SuqRpSw>cN zmO-~FBqlGwZ>_m4K2mv=Jv%gaN`e{GU^J@z*xs2MDtJF&2CxD$GKOqEY?Z?pb~Jg| zA>ZT+eL~!04 z`w;_f`Fw@by#cbxn;WVseOU#LEh&0#_t5y}6!SI4PZR>T`00WC6iw^6mg~SRQXGmZ z9fZ6x9LmC-d9UGr)`1q?g5$nZu0N% zwE)hY-VcA}GiwmC7FI(GSd6n5g4%|KFN=iMPcQYmW@Ld32Mal7?T&n_`2mDFw-aQo&AxJx@o3}(7{WU$g79I&eQ%@YbzP3r zmMt=ZoOibtrEY`uE5=JLKumDDSQn$#IT#QzHw#m(0#XU#-sAWLvT8>t`AMr#&mrGe zf(E_}*9BnUJDk28_{dIgX8t1aR~x>=}I`m6CwSVpv@eg`aazz4jJ z?A4e0B9Eyl2z-CKW^F?sW*Pwch4SM{+m;*rEPqPcR^M_av|em1UJ|KUNpG=Kza<}! zSAs&g(Mc5D*=-jI2=B(ntNO)uHY^J3LO6}j{G9!(3bw@@gj29=Dl>!+8;ZJKF`dOr z6|18|Y+7f7T;{yOm9c9*X+tv0 zSZ$&5X7I+OS*O8Y+(vIQX|@dP%L>0I^sPpP+s(KQtNWlu-*{V8pl$egI0h^p9{V;2 z(wxVxThIVZz6htpKWqO3;}si4OZJn%_PxA_=;*E>Zo;ZZvclo%O3&VE?Vxry@c~20 zI<~o2g$X6Tks?a~s-e6;HH<&L5M4POHl82Vsf`go5lDHTrgrb)FhB&l35mt{H@1_M zQJE?#;1m6}+FDQ9e_=eXmvJom{06=?3p1JqX2<8ZK1cX*J-+qsj`?I`pXK-Wv!0le zLKTWA-|YCRgHa2U%YwgMlS7xhY{X$Z%KvjByBNTvUz1FQ)xKG1=XB89;XIt$+OWwfd~0tA}x>KSA>m z17nK^?UonXnuQh7kpBl?a$gZ-H|w7LBgBa$$16`?r&=_8l2< zm%I5)B78l_f_S4-i>_~RE~-4jl(#PH@F&|bYmXr}Eqn>;-c~Y|KWjLm!w!Xer(~U# z^{FN&`X0YEj1L@m^5&Om#*1k#+RNKT8EGka^W(Q~%lN+sTtfT0k`C#J(78&KUJup8`*+#| z4h4*@R$;6xGq>JCnI6)2iMFw@-;!e6PZs4ASEPFS!B$jPSYM4fQn_wuUdrc7{31Im zU^|9ZN8q!LtmDfWi2z$&xOPKx)-fjNrBOevrjE5R)`VZUQ(DnilM4Dx)pc^Y>;?9I zI%xwKT^2>;hgbS{fKL^H)}-WyH-wn?^vMoLOKkUvs~R%V)leejM^@aIPHp*5-f6J!Tl$aw7^6 z+Y~Up<$4T@_pI^UF_#);v`uB_Hl01C+`g-2h$t}YyE{ric&+`8zfDM0|2nQYLkeUs52t|5Vn=1JQt zmF}HP&32^+*Gt72uV~NaC3{v>?h`wi_x0rol*5e`pfI(Hd=wrC(&3}sG537Znvp&oTG)P=6 zWjphVB-IBBv*Vv10e^=&NaW-M8ot35#I`{){CBG#{hj>p55b!7LxugIlfLoy49wOO z#8X)&+fd*A+ezr&($nwNDs`7+$% zNlv*P{sPDf2uCRm*fDkd#(pZY<$iqheK1hKy>1!i8%3W)uV(TDfL=(z>tc&gr-MED zg6*xS<^*wo?GGDuuG&>;&m19PPQx)W4`eA=_|tq>7vR}e(AN9o6d?&F>kpxmXNmnp z${6aletV%ZgX@~go$IWC4vOAjtxpiuJRqpjwHJrchatJ&TFD9$;`7XUF)d-6Ky{*Gw2wZ0y_7Qu$hymuJ<(#y!vpi zg|uu6piWQvrJh;hsjB+z_nCg6k8u2EOKUw^MB9tBNZA0%b`y}RKEx{Wl<0UT0hE4l zQ)&PRsV}MDRhL{HF!~Z~B<{cDO;`4nO*d`jC16-=m;OJp_E))X>@k4yJCiaq=gxD; zc2%#b^F?DH@|^2UEqcr_Rag7xSaQG53|!6~H+8Yr<@GBX-dm#k%)a|_-wF?yM9|4o zlRJaF{GEu4-Ig=?*qzsVJ6}FDd#%U*OfzH(1Dotd;&In`#h6avln_@^a2a@us%Q!0 z!*8)@z4DOligw}lmcX;oTWb;INWSI^KjEka{dR0Tbi*yDV`QkwOffc2=<6iMn9)v- zxXO~L{c7$k#Tbm~bbF4DcaxMjoT$gG*XL^ADxl-$Qh5+;mP_+!*wQI!^67y1U>xQ~ zA8m5c?nS6Nf)xEAY@;Vj{Pg4Cbai!H8J1<1v)kT5QJH3G%fI>5-2@K z-&5)&Iq+^L0^QvEn8;F?dJijVI^C#L86w!#DEmubDZUgso`E^ySdO>~(34T;ogv^Sdfdx=^Om(as|r*H}h%P@nHfVhA+rC-f)=>Yz?eU1b#}8VSHxUL5e|CA`v+S<`TNb^**=o!YGaR@h<{)y(O+6> z>Kr@ve!2#6U$-L3H1q82$>9EX!(g!D7b*P_<_9w?nqelrXw%v+m79e>6;1SmmM$(- zi@Ks!lp3&ksDMzNpy^BY#vo&i#{L&n;)X?I-L3`Gw5KbQYCXI|b|S|$a5ePC_c z4|D_=(xRoe^*JBY76;$e#)Hm8Hzki45GS!+lrTYrrFV5zqtF7tK;o^x3kTTN{g5`v z;Oa=`;hVN(-MZu*BZkrIn}}rJLz{-k*R3;tx6)!04(8D=5djMDqQ^ZZJxJB*uF2~! z93G^&z+VnrTi>&)dAY(yf=l*#yLhmN81O=kpzBeMSHUYci@a&;Dvgg0_nDOQ1`0mh zND7KTg_Fx(81_M_lFimGlq`DM3@Ved$;^kt8=ii4>uQjMdy^tdCt%nNj(z9T0iJ9R zZ!1ylZ(T7uo}~-f1z*(e*Gcv16m*g{`)!w2Onk^g$0NL}xag?;5EK`cNL}Q52YQG~!keRaw3A{2YL&^U+Vru=zN-NWCK7or5ljnN>kx|^mht9xsaIYa8gj|4d zsB&2hfZu&xWS&EOkz=3`>g~mtI*Mz5+2v3s7&gPmwg#Li`1e-~AAnYz>DgdwEC(nd z#+eK{XCbQhSElYgnWns8Zl++(rtUNrQ1TNQ>JA;j&-8Kiyh%@33dOmH|Ll5s%$CAR zapYdc(b#ARa<3xp__wXEyR<_dq9o$0AiT4ka)%r%AjQ?3k7#8Ap-}9xeHAHjWNFo^ zt%kq3{?v5G#+jcZLQYqTz!f$CWQ9rL)WSx(Xv0Jgc^;t+pdn?}APy4TZC{2Ef!XL6 zqT@Bpx0s52@lQzcleJsl=OJ#>QxzwoR;L`uypx>d>qu4M#xmH=!B|nJSARfFA1;|T z)DS|s-Z_qW)^1Em?G>9UM_&=o2?WxL(9fNR9#xR2>SnkE>L&8d*-pX>=&=j>f1(GM zJsZkB8ig|~KMB7!tpT(XL_U0$Ke^G&o}g}V7g-fH zf7WfH#~7Jtu6wL!*AdX(zKm(b8P-5-(8>!R&-LXkI#C84_QjK!y!)2Tc@*&yb5WlU z8NMbyh>Qm3=ySKTAQjIeweZ==ujMUi}s`M(DPws@@|z(_YM8{ zG|!|PW^A-nIOMNEisnrj;KsMuPgk-KT2#ioep4w?fV6bw-Rv|(UfxCcX*;?#vMo<= zoRT6W%FZ96GLVvyof3Zye_=z6CrUt)OR_Yaadyjx`fX$^5xdK;>w2Ukiu?Iu$ReaE zg;S>kVo9VQ8KfaS5R#?x2t`Q7FR4zZB~%)=c-gHE@2N*>>9#d1#10ejSFBbaa}I`F=kZwX@eiP@}6QZ0k4COT{`xL zS(Y!aSHlnN<=2-}cGk{xWb-x0LY-Z2 z!jf1*vSO8~;ubnK?d}wxO}b{c8%X>|CX+Z=fr7v9Gu4Ee1xxG)aY^>Cxmcr8yGh*? zI28^ma&0!(5s%7Vu3k!5A2igX$*mlpuE^qXUoO`F?fv-SbInuu)dHdL|8ds{$^H$N zrRBfZ`B3Gm`a2nKG9}8=5sj2bA5(7iX*gPxidcu-dQN6T4kTHEd%QXy;kBknmZr(b zg8Gx5`<*T5*u*Y`D0;oE@CJmWb;)vb<*_91^T}*l{5>pi^Xd@y6l?yH@QZXq zRWDA>wDwG=8R}+19c-dK&jW@-{qRB}k@s68YE`9n|3$LdkI;@E`4N9f9X*xe_ z-LqBS31(AL*F_7^->vb>8(i$n<<69yoi!2K<(4o(>Yoa%fUHmh2H z38&-P8|$K^q{suLfc)>~PKoT$r4{}Y#0@2XB#COr1fnGSPvQ#bVERs_Y88{4sjM|+ z@6!+L=+U8HjzEGn6=`&dx4RuNpzeOJ!WQkd%ykB(Dy$!};4au46F)*~=q1<>A!YoQ^bYVwoyBp7G!qcWx3HS6tcV;tJy=E~(r^ z1^y!-!nq(^D4~*H2S5h@0``Mom88qS!c&X$9hgguPzy%t$Qzw$sPIIXaOvMe2LLB1K+LBm8y6{YUnS_yDiMGAMIT{m`Sj;fm8-{XyhH0ESW810-A9WOMDQrm(A-c7d@((Kh9h(@FaK_vGB5bL-bw zR-DLSSQo?plXQf2=Z%*|KY0UsMYB?LI26`wey@)6F?a8gfP?Jb-33eh{o!-~RkYrV zwgrIfFAMjksi!6l&36ZRy6?PmwRJY_9)2{UrL07Xq~ZNU($4xz#&)pW@oq$Ye3SB;(6qfBe$UJ1&;f zq#B-;8x?OdE^D9*?;!9k!&sK^JDoBl3}7&%Eqz~ZIY0Z?Vgp#`ZGw;NH@FKnZ1G3h z#BE4f1!=shedrL-PB6x7Y4bSYoZ#9*sXm;U7T;+r$@9B2QZlb?aiG7@BD_%pT8>Aq z6*a!{aOq4(ysG>|aY`9M4S#r6Kd>B#aY@A6yF&dj(DK!hDkkc`6Sis;N?}CBJ?=JA zjTRxpno!132N~}V#f7;bR37d?$*ug|B;J(%YpNsdMBu!(VIu_`o?-W~ZKQc9g$~IJ z9%!7f2+rCT@YUl2acFo~j7lf3Y-+?TZ}WVnEFL=L4E%c;PhD@(tp{LHgihMH3*JZ2f=$+hTmH(|2FFaSXtmmI#j)I+OTP8O=4_bZ)#ha|$pnZ2H zSgU^a)R+T5+IP%~G3Bw3u{)gByU;oyT}E56m;70d{%&QY)#Z#zIkp2K-Y#BxcyahZn{eWL{c>n1m^^UY&Cxp|3`38+n z2Uq;BASUc2*@J+dSDN*($V=UNt=+pl3j87>l;5h=;#hmaHpwB8lSCe+q{K04aE+L|U+rYY%=Lt4I)YAQ) z#cFN{=aJe`4YC_NoCRJ8j(HtsARlbr1!2Vopb9o;_B%!XKupWQ-w)e9qMQpP7aue= z%{W^p#$-L(N`CVr=xEJ$wbqeKUExMEKzXa{K3*OT?H?x{9Kt14R$0^-UFqHwT&HL6 zS=!?wTm8c=2b3E(Oe3P#%B+Wn-AuDnDhD-j+-WVv-ZSLF3-QuDMvB7@v!Uy(AoN?{jEryw@b2pt<| zhapro(wvD;l$2|yQ<zx4A6-j{n~jsP|D4g2$yG(E z1FVU(e52qj<$Kd~8_qMvHU%>Oi0_gGTKPcbUQP%|BhYa$Ou9t#`};RtN%Nh0_$87S zLbHpZ!r^fANnhDNzVoi-V4{{@N!SFDeg2$VR1eLPSnAexI}i5SE!emlO30&iy(e&s zu$E9kX2T=%S)W5S=AWu_0WG$^@1FaDIWNNzzr0>)-t@#-{p}P^JKyPXQLTGLH+Hsx zd2Qyi(tJn5f?7~05}3cie$vRW%!*uyQMnBc#vG3#);|g4WXF4puMP_KulhWcdI@K9 zy?4M&hi6)gxuxSlMpUn~Z7&TE8AwPO*!VZTx#rTZxL%9i81%{x?w>n4w5akJXY6?Y z+t#K}n{z8c!EvTK_vR(b^axF8b^JBXE}if+ROkF8ANz9U&KAu*1~QBSas{d^5-O=b z)8Hv%F#R-_Q&~o@p*dlBd3pUwnssjiGk-D#b;XZI+#jK|*fMm^C|sTq3)IRYT@|$K zNP)27jCjt8aqG>#fxF;|s`#&=7 zb533ctTiScHIcQCIH9&4%h2BneIk|lPnKqUl&YOq#+q61Vdff#S&F$8KAO~>{vT#0 z)G2@uK&8f!eBp*LY$Q(cudiD+DIWNToPHUIV{S6HVPnd0(;NwlS8SHUt$JdT#N+4b-lNJ6^`;12LpYV?+pX zq3burkw!7Z0L|MQlA1`Qnr}3#n}KOR?C@;UpxRWBg{H9x8b=9b&RMvg2!544>qE*p63S$fO&6D$+*-XJ-=a|p}9)DaT$D(~X#s0)q5>MX!!F0gln#|Kv0fVOIbb9R#?gq@X{LLd4 znc#V$lC2Jx!E8Pxu*Gs-%g4cGmruUTqjay_WgU{xzm> zvsS@d_Qny!sW&NKTB~ci!^Xo=;dIu#D{SzNT^UOW>!{bjq2A_7A~vAI8WGzoc^5pg zzN>_@wcCS}WJR8hFfICQYIFs~H_JDbZE0WFhi}w*J9N11b!IpXoxuhiCCBvc?bN*w zdbYCq6<{Ge|(!ceS8l02j&S~4hKt%#s^Wvo!NQS*t6~G^eY+wyu zdyaT(4IDgq#29}kw$SZKF1o~mOvlgX{iWZe6!kQ5OS<@l=#?hcrRbobZsVv3_wb;A z121u%9pP04lShOzTLQITDyGK5wD@~5zXqcd9-WALy-sh)Z|4V`Yvrm6GX|we{5U$S$@9v@}arw**=AMS%TEhy> zXe4t-oh`1pnoaL}S;qXCk*!`Yc(M~17@MGoWQ*SD-j&_}B@7|C*tf zUg>(9hXr^+c21$)WYrJ%Tx{T(f~FGqbz4AUF+Yoxj8k{+V70H@+T2N6Y0_FghAf%%O>Ra|CH z{8_&YgYE}Dd1>kY>puQy0fIh&egEgty{d|kh$@@pMWP|+R!)oOX{epj-HAx>90q511l<@ z(ttBuh9V{5geS`-rSZsWgRRr)KVr@|9P4vk-j+l~ckiEVqd>SL0x9dzbxo#+Wq)&= zdJ6A@-1n4#o^R0iyswn27KAx#x)ncT!MxsNuPI>s>Ga%6OM3-B1n{YZSYy#RlS2~D zqe-mcYnQ7eJC;y9*N|uB{cq7rmfa<;G(XTm+dfORc1aTlnbkR3`ywTK{J!FQnPMu) z+GKWOUrgo3-Hgl9l`BEVYY-u(YcpemOhpoZpOCBSo*F@XOd#ctLPDmYcKV<`AOk?l z&F?leq2aKVKYfcvSyw5#A!YX&ixG8Rs`qBbKicx(mKOSykx@?qork_ghbGP13;wBo2cl9g-uP z-l#v8Le8-Hf;ZDcMFR)zl;wiwGrfH}oD~By>|@pz)j6HwH;>~|d%GG{XQMd|m{R@{ z?^F(6h}d84&o+L$Uc)Ki-{$ry!h!+QET0fQjk8$_+tlZY12MRqN~!ZySa ze*ZW2*s5Yg53TBo$d~AM@Ew|kPv+>Fg~d(-8w}wE)DR=ox8Jk=*4*mWOFqm|lYGTZ zrvN7^EoC)YHM=NYD`Hru7^&SzLnu{?_L2PaN89h$_H#mjdOW&fqh4tvRU_I%;9*i= zT_xJ2ze$c%Cc@P2RAO+}kAHk!V>i>x)TFn}-VsP*>OTBqKFA@N!ooni@waW9&`8Ym z{^gEgeHbq!H`5+Gt`?!5Epv#Wv3wJ5;^-- zV$QinrzJGMnNyYod_H}5+#is!Q!a&YwRf~6mrmnDp-WX!?N;D0z1PT@@pkZwz5T&X z(De;~T13Cm7m&yN7}0bPH7|2BA^DY(vCl!1@?c*USqSK8&tBGv6Sr<=vgWrwu zpPn1g&}5`M9{^7%ahxhUlG3dbt437T%CT(+3a3f+huOJoJc-*Y4N{*qDagpDNf*ts z#-V8z>Jh*>m$E2AbHb>0Q-D!EJ2PUM%8z6F;lmd3h+q@{E*h{?6>;izb7Yom;+SPh z+AljwMjNuFEQ_hS@ub@d69%Gt?@4%qq-UA8g_!s=}ezp*7!d%{60H$m5`Tcx@uSx$7Irl zUq_*3F_u{Yb-xrWQD}gB)C})mW)X z%YZJFchfMcji{D>0XTAPv9|RSl7m zh4%;g1bH(zSo!NwIrAN}{Lc(UD!5te_`Q}sQ|0q*@?qpc}1J~-OlRk52_@o_3h5cXLEMcy$kv#{R(Fxa#dgK%H{Es z<;0(1&q(oitWf}?fK5-unK$Sp#ZdVEhh`?q!qzs%jC)E2Z6%m@=Y#WI4~S$~`5VwT?6QUH)+OQh3nmW8VL9 zbk=cAz3&?bDN#zgr=&`Wlmdc9i-<}{O+|!(V}MdJHl-T@0UgRd2uOo;GdiRjMs6b{ z1{>voZT`;p_y6LxopYY&x$pbB-d6&qB9bc9O0iOegUIduk0>T@R{$RosU*n`lzY3Mf@F zGt2Nm94+qXsHd@enWpd+T&mx4w0(H7)jDseu;~2^6{ACPj`?+?{)NZ$*K26w8g#bN zL1GrA14zd@xB}BH8YE0ZTNlXOtFqV@zRiu&Nfk_t5~s9h^cF_d6C9R1|sq^>HSrmd?M zX6~e8OK7=M&o*0@eep0*JlSJAF%&pnJma4A=t2qX6fae|hN-MpTAf;dB(ptCX>Yk= z+1k}Knv*7)z3!(Q9;Ygu+HC>VonxlWT7d)clcc#QLfM47A4TM}84ng)+WJ~u9k+2} z?c+SWD+eMb&C!y&yc=uFpj?ameD~6~c{L#dfS(el-?LKYQXNc%tte;j3MAJ|y{Ri@d@e6&nSQO{8%g zV|yyw4T3~4qXZrOyRJ+!pw^s}5UD$D_xU}d=q-N@*1_qX!(ed0OPKNvrIhOr+iEJW zatYX$KVk_gBjf3SYU5RWTG?pkPiU_&Qzt~lv z1$BqzSMBt|p&QJ3Ttnin4r|1S!yQqBfFElek0wOdbh}_OgHZm8@>Hw8oSv1&WW_b6 zy&t`7GG2FjUL>yW+F>~1^2_lSt!XV%6k}ayc*7C;%;x*uShz%)8+LNVME>iM^?0cw z+f2z6EaNxJLQIi*e3x1AA0azayZK`~m&u~ES~YA_^40nK3~B7lQOWmi{I2ZYEM_WV zxW@#ZkmM))sv@;?Tk(CH^RvjyqAC!$9AgU-0>VuSy-b|CgR3~CGu9O?pKkXmn(Q!$ z6A~Z1E^B|)=n?S3@au=?t%93x4~M)01yUh0F%^y-8iP&0a8C^>x;tzT(36pOx)baz zM#hT{a()Mj(nmK|xI7LcAMSYq1UdJ;oMg>xuYU~9JUQ{rA} z(Ot)xcsc(#;HFM=@ALOuU*t0KUjs*81GiFXF*y@JZ(?!-G_UIwxJ7){cYatVD*xF3 za?2wY)ZH5n==>kwo~P?Z7dSmhm$8~jF%dbNlNINQ?Yf%~Y=HyIB9<_fdbOu6h%O9( z_i|l26O~7)KN10^g)Ap(VLoF%08Cd<-mJ?^}Bv!rYH5D zO|U>i<_7ugvVYqyRuCMH=5En|RL;8DamO_=l7;R=s*XdukS-92NQh;|BOX&dGH{8C+2yi8s$Xx=LB?}BkYk=7ox85&BPIq0wU8&m8ZQOWw z>b*8^|69^FJ4PYB?tC-2|7;_7r=%S45nripXiFhrL<&svDE9JLQJV=3m6cH(6hJs~4A-e}#+DdZg~mYS4jL;Kl-?lflPFo>^LLv_<4-=@dmyX^ zj$me6PulspVy%`*?{-AdBd^h zqvrl5YcC^l)tyg;>8`I25-GAq+iItm=#$7wBr*Nenm(3!mW1h2;i7tzkBag(AOU-A z`jH1v!A&z`m#VtQ$#&r8iMNjI*;lSIovG2yN?cFu(&waFk`0}O5~x;%8wig1VwBvs zr1t3t*Y3@mMLcI@2xrc`ZoC101`y%P$>~SWNvp%a3ht^BhvseJ2{449HVMY#yDuAm z)qP2}v#xB3!81zpnrZ(?8?yIaYjV(v_?(ZIFje>)9GF?QGjlRvl$fgU!dcwsabcB1 ztZ|u6GdS1+s5q@DUw1H%``dHw_jJg+UCNc(9w^gr6*;j34N%?)EtTHqjPeZp z^X3EWAG9z0ZCjn*g|pMjTR6?gSLc8jGY;VQ0bcA4 z)Z}`Et`*MQ-oc}5{Llj$o>Nhu>YVIx^FP#7z{1E4K7r@O#n}hOuSGP^p|YcX;!pno z2u$I)Q;>XA+tbGuKL_Do|BavIDz|j$3bFIlc?6y>Dh^ZsaLq+tf}ypW4T-o_ONcY$ z%F12nfieGl5>Rqk|Hc`l?(>w^*?09P=Z^KAD0$0?oFJuYvtZNJ*=0ezZk2QS8v~x6n(O_? zp!f?eoA4|cV#*24wxcF$Q-aDI0+8LA7bdBl;U$OXAx_uXU)~SZ%f9i_2Wd((rTus# zKZcOqKqZ7}z(gsr-2lU2T=Bp`y2nKTljJSCS=77ITfm=TC3SU7{dSRf$}zx?+q84; zXC|4uUcSS#J=@0e`2L4FqN??=ig}%D~ zN#j=548w)UC2VX`*aj#?##jORa>I0z)hmN3SpG@wW zk?P%<-++aVls$V#(N4G9UZcJ?bIan}tEe);#mlM6x2?E-YCNB1li>q%o0Xac;xK%} zib;S4%%hMx63zByO0d@5G-;nv?yG7Ke0p}NGOx4Ql@Q+3wYAmncu7fie6V_c&&_KC z8(N=y=S^~;3+n#fy_H^Kq{zK06lMBO<+-Ex(8bm0lCeHKAuZwrKYDzNA@;dp8Dsse3&(pyto!wpyT$Ujjbo(Ro6x|t|M8SirLmX!OYr$ly#jDqI_A)Mqe zbt4tY31$vPspnkA%N)y-wChUux)GrIag9^g^Zpu{nXs18cYEhGQ}K1lGJGr%-m4$v z6!wIc`p)Ey=!!@-7yhx!Y*wwQX&8I6^7Rq!vjI%pU#*sHH9n-2)l5C+2E(sebSvs% zdQJf9sGsOBN-H)L9AB?*c*<899x`DX6}N9{C-+MFLN=>U0Na81YXz3=RIwoJn^J^h zM7y)BdLAaGlQac z+VSj=$;|^!E&Q#T)WU!W9eXJ6Tt~%B>bTQQct)sBC2M4V<<~Vg5e{VYfDfzn@8!7H zdhs}&TQ;33++00@E_s`NM>XviYtgVuXZL;Mn*P)EcL{6Y?{}2TSD?B=ZH1Jewj?)_ zgwxuhY{cbKsDF+YkBN9at48!}nvJk4$K9*ftmld0Byav-lecCJAJVv;*`1oiKCh)U z&>bzF=-tdz;hM3!qvmh}4_(Xj>Zq&|zKXxx_hn+Fm<@J5r$u9|BOlS0r zXmv=B?`Amt?IN;550-N}3ZNPRQD2+a0b8@xcBP`>%rImPaL+Ut7V~ZUUGb5=G<(0x z@)tqiz=4P2zTf$GQI>Rs)+@sc)z#K%i8`+CLe5}b1@!a@SI4cbbSWZx-m;;3u znv(h!4^G4|BVAd7;&nPQ&y%33U9_wSk4G93?pwrkp5~@(A8q@&8H0UX`-1UV31Gb< zWAqbjk|t7xAWu!NPGK?^jSjU&B0DylYd^9V zyB8ehAcIhE-q|k5t8(H6$S5%hn$4E=?#^L**&WA(CX`W(ZVBwp1o6@Yie<35L%0h| zSY=My!^oVu&V5(=!lYT@hNw#Pp^m}^@t~&3R;DV@_-TfF>gAHw`8qaFqemWwDx4c> z{}?>b7PZM^CaaLICH5X?-iN_(%*g@L$$@42tSwh2Jk9M7^|!WUaW|f{D{9E0Ob6eL z+p7m;P~;san~I3{%&JM$%cYsYhvfM2%}*&wtSdE}+e@}pYrWYQKidaAaD&zwU|h{u z)G%!?TR+@`<9@c-?L7}KInnFB^0I@In7t8FP!KowCEkq|-mx8@)hVM2)bZcH&v%4b z|1#B=rYX60joc=}K(SCIzIB+~Eb#~SW*^Rwp+}y<`X577%T;9iO&1O7q6pc%D)2(5 zC)ANS;m)O}j1$Y5#>=mkZH6sM;7=%J{sQ!Rjk1qT7dqxS-Bdr`Hw-S5uHlL2)fKZn6gi$|6>r5&ABAzvqUrnQfj{a?GRIPq(aDi zPwUOWPZMxy_V)D`7JlASSWdfnu_9GihL?v&HRd$(=s7K!E=LEpd_d*A^fB{HQ*8TO zcEhyB;L9~`b;$b%k`6w7;;xZ(uj_3I*2g{rE7$JE;}J}xo;Y|A0m(oWpg4=UXDX0c z3uvi7Z>MuhR62^M2Ni`|pDX0~3R?GV{k>9%-nw@rNc*S@gc38))J-Aur~wqRP_&-Z zCW;>=Gd1*H%e)YJ+Vjd5Zwf+xX;lo-1o%&GZgbQ z6~jk~>?#x5YvIR<8*z+v1wH7_PJt&-D?ZnwV84&1cl1_iDCBYshGf3`@#wu~5g{>L zkBc%$C<-(f+eF-`5BARb#&gd|qVHN)^@n!D7$JdE4IKA*ickmEu>=#M&J75!t}4$+ zjTQf>jlR9j?9L!S0yH_ZO|E37fhHKsW~M~d>n9NC`wn8!g)P|uh144PLcklz-NN%d zbQdc5d(Lnis^0(n@ny?L&zt2`!V*;~|SQW_Fy4JvBGHXf&sxzVvbaqP2dI2KjIIEszuKd(#~x z4#W`~Z`Q`^wp&86vp)*V9akdmNXML-CF4H2M)23y)YrN?OZBXG{l5Q&78(jS?Jh84 zi-Pwx%29{AD0A(_LNeubFkd6<^gr-b9QOw&1v2N}chfP#y{hX{m4l$`Swq_wMi|~- z7#_piX_2H#Ql60TK^{c8F$r+zCEeWS#!tq*XT|^1m7jPEMmrxRli>*=YA@UiwLGud zOZWtR^ZHqDKOCQ_{mr4*_uz4kD${DOw1~v@ui~|^ZjABZp5m~0^Tu9Bh5of(O1gA^ zb(r|-0y+;PPJK%%Y6WvP1(Ox<6DQXx@*ScQi;V>mS+meffGi!od$XN!qB4IAyuMD( zAb=APvWQWXe`1s8Ads0Vxrr(*FS>2)w#^WGZ6*u+Ik$@4!#&4WaJ8Xc{>Yww?#b!x ztwG!{Gkvh;WGee@p~v0+Zn~!8K#O5!++g-b!Zp_8pC%gYPkF4M#H4OH5h??jI|{0? zi{-{8Mm{&5GMDRkI{B*3Z+@IlwB7m6_;Z#1%;Fr5WfXNU0kjG2&!q2}0okyWlxqnd zmXG6g3{^kp{)Hg#{YlZe{479*BXyS^yd`S;g0xb(~s@N?+}sN>cYq z$SD+w3DG0JAt*iGtnKs2-AtF^xm1I4dU(@z=5TAS#F|!lo}%1?m;7SK=${Rti&cCv zY}BpC{TkOIZ;I*uy@)!jgwLhrxc_6g>eFOZZ!n zV4a-yxal}57zXk1`$W-qqEA+Sdr)x=TrK>gL#lI7iugMsQAC-=NAfCZDMZ(%h0xW) z1F#OW4OG_L8(f;FI>l2OtYnQ4P0kwqa3n4E5XnJRBy-0dCVoUnkpxmBK6)3}ZeD1e zB0+wAVaDx9MMu@dr=~fkxrGV4#dYNl^IPD@+G1gl5 zp-6KItR$c%z{Zw*l$B?a|NDjGpkjok+r>NMuU>q3Ds0)e8tqKVYZvp@3oXj{@_k3h z(j~a}Jloz&MPbde(5?#Aw!dXXK#edgY=+kl*A{iE8b;=&RJw&7SUKas2OiO)@)E#WPNLK5vM%V}saOM$Zt#yDpIUL+ zsT^Sn^A1Oa=S8h|Ipc^H{S^3zXV0+oVNS znKd|4Pjw3EDk0YD_eg-u@{N3ZWNtxG->q74L#jA&?|GL3j~D}y;iyc4^f!K6ajmj0 zaO&0Xh?^^A4kz!*f)gEok-29N!EBThLWk&tEVuihb{v+Zp%5GW;89~k z-LZf`ThcGJ@_W}94$ERaFC~EawjR5UnB`xbtd3HGrz*Z$Ojyzu&K zQBrlfFhBtcYwMQr?J}YE;kDo8+{7yyB>~y044mT*cPt9+ z#r9>=(hN$cScZf3jQw&DPoBoXeNg#PYH?HwUZooxce3Wt`wr;CY@$gK8wHp8E?pc8 zvr%r0Ok{!L4tiR2E?U_=)HNRoV1FZE&vfdLZf*ian6K(BUN3NZQHu z#+CSaC=9yK5zTyGjc#B+T(V=A+`bxIo+^lIPd4cxI9(^pfpmXonQ=V}GP-kvJ_ zaZR>bZc#c})NDwTEDj8;S@kz}I`OR4=hD?7G1qp(Z?9yoe^mmspyxF2(gjxNTBN+_Cboh^F6t8!I;KT9AobN# zLG0@18t(NZp!nVEWqvE3`Ku}P+pBef^#7Td)%&2q-b(pz&aQ)`9xf(upQSHw!%XS& z-7A%0w7I-V(1A!hT?I$xmloZNx4o4oEj)NPWYO{ny=u$n zkqJ!8(}`+UpMCYrXAv~7IZlUavcVX&R+aVPsu$rY#ALZ+%0j(l!Hx_#u64Nk7nAhC1h+)DzCyn|PMw!k-+Wj~W?sK#E|N6K;rWoD( zpeC0gF`tWi_H4zq?%VSr9RKR2IPPg!^$naA8xA}v{C-H-&C-$gbeL?U<6ZLgrK%;g zV8|RCY(Jt-)HfeflKwSba;d87VN~qLL%qEDsmO0%7}&a8X*G{j!%B_1T6Ahi&8VuR z+@b8NXel1=M%vFFQsVF}h09%i8}*4bmUa>w^-f$|OOLcCgiznVhnI8_i36HxkFwGr z4&k=hkIYnWePBQxOXyt;Xx0#^mYs50lILW19A%tg*7bH0A&+;{Whs%+9IB{jRhd8D z;HxjFGXGAVo8@Qwxe!)8S6(9s=;ppMySU-Z_A_|q8raEb0#hk_l!3Qk++5;Yj{H5T z`=WTBfal}6VLDy+GfToiv)Jm9%@N4QuYyM8}rt5s5r{P|HtGoa8uAl~!7p#brg zKMGzR&YLa!DSwgRcI$RGC=~7(xI7zYZ9OU>JECC6ij87mJSRDK`}__=$!-)vzJgLu z+U;55P*x8lE;)_D-sOuCg**3J@ydqhzMozTl5}_CeV3CbnXsneVOT6KJE=x0v#d-p z!ZeI|e$QN6e5NG#dUMQhJFfG6at>YNo+H^JtVJ|Hx?5iqA=O@sh*j%c4MUI!dIB`tB_gN+p=@$w1!==MX_BT$AEC>gw`$1nuh7m$*%ocexrj@JL1nD zxB{x+chjqRRQ?`(m>p}%=`|{YXqcaB0BYQtl8VUmkaqtoXmkE2)?T2ERl(c)*3k4# zNT$|p_|Kk0!=O%wuU6WleyR6UY1ZqcHM5Sff()y?8@1c@-Nd zO76$gi=YLR4m%9maoV+}d#-4srf|;QOHJJUlil63y%A?WuJD0b5yMadS{!ai=I&N{ za&JPGwAlY$ZvClkR7Fj58b6BjmN>b|zI*KKw5&7Z+!+I<158&UD>@3nJf(XRdaGhH z!=NklL6@_ZNs4e%NV)9{wfJ_gwamNqT@|4j#Bd8BSqK3m+w`fbBsHf>vS2lgu;6JC zrRH#3$v2AndQrl;;AfFX%8GH^i-g&G>aPdxj_P#xTHwBxRR>_zk3y)!^A+yzE~UP7 z-?e_PFN4)HnI=H*-J5zxEtRiC@t*C~NlF*KbqB~@(uW26s9g91v@dp}i+AkSiM5pr zM%Ui3pZoHX#dw3Bd;7%ZPQFuTTCJQ3e_{8^;Z4Ha26byy1uNb4{_7~>GF5d{kz=Yw z;?uK$OYQIE}oqzFH6XsB|--aYa%;fG#I0`GS{nKPQ7ei*qU zkb916AEKg(&_)b7X^tpXD~Yw+`G1E3#EvIup1We#Y6@67!s{aQXLY&at2B9fK7Lzk zM2j0L!kzKwqk0pGFwXH8+yjVVUSJAzDW-fGh}uaEYdU|bOF?YvbLq$EazaZWK+CR? zsdUz9kfS$QZa9gE6+AbW;q&_`fnj>Y8p&NueJMY&!=#v0SGzUA0_Y?vZAwBrCT)F9 z-KSg!oy(tEt4?<`ETqXtWgSnqek2Y!>)4>46QG+{--~xBu?wHtnnni!a;Y>6NGV=_A_P z2!CRII!acyBm4$Q zHllmnH&KA`zu5TkD%nOg!imdm7xrg>z&UW|n(T5G@T9%?G z4G8O1)y}To@TpwGQGsIb=gy@rfnt)B{AnAqW_h0D=Fy2$nQ}O9divw0iI#rXGx>cg zZ%@BGW$Zg13^Iz)e{B&fT4yk&YeCvw9;-5MSZddHrOLeT6#3IvxLF?is;y_?*TtX& z_nvsF&M7DLEN1NgaqTxra^(br&kh^Y`n>1k+ z$t!VRK+Kur8`bpz5AtgyRt4YvN#>dyAR@a!QM*^wL#={ThcXknnbtyP_qc4NQ4}^p zB$YqWmK3~A7oe1#zNY`yuQZCzt^8aYiV%dP1HTKJY#Ob&c%QxbwmfKduXOhj7g7Mv zEwM555MA3yw^hKj(iE1C<)s##;F{WPe^Q7%CFnouJrX8LBjaqld}xap>Yin3J!WBiJ{QBD*cOy0PgYNax`>>7#|}OewxDU_BLz;|g8g3gxA) znM+F4j9hDzl^nWg3+>hAikL#qA-n8?Ys0vSY5=X!h*Z?Y;YHC|<_>w$IPvcI+Y80K zI&YbWxjqj*_48e}jC*x~k`PRY*M{tM8CrM-Is!w)KOzz!rX}L}}nx9^j*S4T{`=xgxr1Nq`+eWtG+&>*$Si95k+e#~=cSQPN6~;dAzg+;wueaQo zDiJz6k%swQFSJxdZP~BjY8<;@Hob`Si`~~_1Y>tQ(M-F2WK5^ZT>sIHs>b?;%qi{! z!M6d8_gYx`8TAVMT(ABPdwpYvaE`H^z}k{U!Vo>kM%|*~qQu%zJwC+PB40pKiao^! zZ)=TT*AGlcg`e^}gRVN3>mK|GW;2P|w}MQ!pCp9(bDT>a%H$tWrasFTdvK8Qc;c-y z$9{{MYNf;F7nzJ8)qve26WSN}i?U5rb5Hm(DX;5Uj@I>njCT5!CW{L)QFC8g`fxd# zFE7`DuUZ)|)V;A+s8JQT};go5ns8z_U?g!Q178 zIi1FT42-sv(B)KOdy*K%iD>`e&3<==mu%J5z@e@uee1dt@5|bd*hCyX5$b{~!=CBE zmvw9HB8%Bm`n=Xm=&FK zRO?VU6n_}|hs_kQc#vx&04?{ljU6+w71Cqy8HEtuKZwx$*?03@bdRebG9ul zt3}vpgOB12nD#vg`VrXR0j2dhN>4{$zT3V$nJM4?e5_L5lwqQ-cU#ZI@;*XrK(_yG zL$1}_0=j{|@r>4UV8lVYawC$({BckFjTpb`sl=MiEs}l$CUPi~mz>@?eBLbRNaB{P zxhES$(}fHvKY4oN4})ZT$g=Oovy8b@ z;_;;Y!d*`7si3X?P?jpQ=9$bd2NJ{om5n&coPY*}?%+W@vy`{y8IPKdKgd45<~6P= zewn8B=Vn=IHT2=BRU!y4#pxzVa@cWlsT(i~Lp;+m)uwlzM-x*!ekMAfshvIe^Uc>5 zK70P!jli7mJskfb%stSg$3Wa^6^zQSsWPk6R-s%#YU~gc{f6>v=IlGwih?`8-mEzE%u8MbtJZH^(w?%~Ay?@szU7)5wr-zos^ucB0OJjsug6tky)0P>Q;Q z;-;3GCe;`G7|_Ac-GiLy?>(nB+6Jv4(_>|=y=c`>x8*q#xP|`&EBs9m=yzM2WxjTJ zxY}^w@w%CN1#xYlTttP>suQ2kD(g5ol2@a!c&}oQkd`t z#Ig{C%pb4bmNR5Z?e#v~k0sUd-AS)$ZN<_;r;BaGdG@SVE-XlmCVNspUVghAcplq+ z(Ngsk0lWbdsH=dkuw+p3$N%rAfDeC;{t7)=GRlWMXHGHquZ_U&%sr}wD0xl?WS%X3 z4Mm5NBbZcwlle)L0^`Y5jb;1#$mQR&)^8(<;(i>16xI@5R~P*BWJxXwfWInsiM>gd zrMuwAMj4)VVJ&TNQXY#6Q@(D?BY?Wb{0el9ZC>+x$-(sY+f!)>xE3&k!cKMday@V$ zR!W8?StYjV3DSe#M9~h3UCCVC&PugY^OXg#sXs2%s0Rvj+|LiNnDsH!qUF-r9UYKv z2Ar7aC-&&=`|K+b-HXA722r1G`Ki&&P*U zE&V)L6qsZPnkiZy-j+SJ0{o~b1m_>b1xg~`g2`R8pAc-dWJ4A|3A0qM8Q078v4bT) zeViX|6>yFLj|rQ@U}M|U1xXGw6#vfsrgFt(BX!HUCSD#bLtKWJ3-ot~IFA9+NuTr> zL{W^W#qF{f;n1_(-I&V6P7BFJ3xV2HT3(guq3&Fi1i*o~#D94q+)CK;xaa(I z&q%IzwRN(`+rtFu-@gQ0&v`=z<=@rpO`H_9BL(!X!p(us2%VpLj`EQ#Nx#ry{0_(l znOcwXTarXWwbC=an@YXBzwv>6;Wud+z;P}IIrDei{FDcdsEV;KyUqib&>95blj7=a zkrzp=lG^8XfEO)t$7wm8qZQMY;JoG!31;H}pH`>tR5fP1m8eNaF7 zgOBw*sg+m%*LS&Yys_+C-(XGfrTBp`g4-^N<{YtSTAzBw$~Vx?bye3OQ7(OnM?t*x>1|dkY;VB*+U-C0iIi*)m@=r?Vz{8chFyFOQM$kLENb!)c zWkds23+Pq&AO;1 z=_QdKg|Q|n{ir3$9hItK0k|gZV+%Wd@QFfolRl3=L|+u>B%3q1>RXWjF5J8J*pC1r{-R(G^y-^-u(`u)eoM~^)GL-=fg!uZZ-B-5Ql}ln0 z=wlEl15fBL=cB92w#fwjQ1p3pE2d(7TkS_cV{3y}l*%FCc?WKou-Hz~ceSxsa}TkK zF|Fn@4|ka@hn#z_$iz0Mkc(_7?5+jM^*LtCAilwT{)RMWvphWDwS~t2 zi0iLsisi00Yx~-0Y0Rzp2PrkYS8%)X)(1;1>bIcC14ge(m6$jBp8pHX75CN0n&H!l z66B0F$qQ9uOAj-8{xR@mbfnQ=jXThOtR4Msi~mg0?&9rmPfJ#JXZ)P~+mBuaSEmFm zgCgJGZ?I@B3wPom`6WSp;$=XbRCbo?lHC4_ogFrl9rlGCpn+l0j{WD$t^$-kV0(E@ z@lg2)e5IBnsrEyQl-q@3QE_OXe8ih~6AcELe>y)oZmAX)Uv|t1bdGubA_R~a&~c?H z`Z1+eYmO@T5@KC@V;7PjR!SKE2_EG592DJd+?eaJcs;hLf+VuCR z)8jo-V&uZ={p}sd_e}x5C)wfJ! z;l{1=Eaw4In zgH5C=a``8wl8t!b^f6U%T#t`>fs(qs8;;;pn*+O}WY;5lBJj;Mwy&&e8-fB~q3m6F zOL`Mlep|bx?&WZ{=tq82QCz>C7g>OG4eFcSZU8ccZ<#ARyk=g5u%(>R&*cHbw~Hfs z0)If&+#iAXMa{U69{&=H!U5(4@XdLEn^~4K+d`V4p1&qVmqh&p+N-{h_6iD>j)DKx78ZeV$%Kb zf#~X&f#Rxr<>15?eTvTM8wh~4sD!myV6|{dA$b%rT$$)bEr^8L;K^xl*+IXFDgurG z=W97EzH| zgXsemFR^2m^TFIm2nL(iqhDFpu^WLJ?kW+wGv-UP>*+@AMl0(YuvXrROshB#mBMmw z_Y%LO`)ix@9Nc4MT`Z;2sFP$ERnQr4L1ZhW52G1$L1fY4qstNNU4K!?glhZK-UAw& z@hGlwK+tc@K&;=*pvgzEvb&%DX|t$8lUHRB%&n{9Lh?A;Q-#Zp`dUPSITYz){}^24 zO2qnvCtkmbU#V+q>P@gOh+&s$WB4G%tZV^WhJDaeCif8KPfhG7M$5VD-72#^-QLf( z-iLjvZca%5u?gEZQ?&a`1kLV@S?F1z7QV!Fi#!7>45tQ|eR@%(bg&19?mOvwMZW&? z@F(V^A$DtyY+((whun9hjz@!L3TsJ`?hm|#la4~OkMUaE^RUTkt&oMs7jNvX`l>XZ zGcN1qp4GZX`w!!cjAZI~zuSYEecNOA`fH$q+xuHJsFU=l?UH9lMljZ261@Dzd)?~c z$=8RfIGN- zDO$V9**A$S11;N}DoL#8wKejTLTCv+%blwY9SjJc-X@T>T7^*lz-{P~h~*=sf6#^H zKS*nlAVtO;igCIcPO8X%GT?07NWWCA9vgV^x^dQpXWv5#G`1xrD3PSC9y_=<1kC%e z(c_)yW%aFi|82fHd0DR590UG%nXq?B;vcx)qiDbgF~o-|T0!RS0t>*O){_=WV{sNN zeKd6L9J2iy%5FX&20s&6dzpLJ5n7zbqwvcDwe#rCMd%8t|MH-k?q-6$WsBLODMUSc z+C(meI6ZK3wVFg9#9!7o67rzUp$ov3Hmv|Ebr8f#l_tdkuAbN5W{Yc4HCYl(UNyBv z^Tw~6Tau(1?+xlEV;C4TKQJ)ZG#*zVkp}|c0c6(h*eA2gD|$vFHYc&6tsUH^>d%XR z6elJZS@tbHsCeyS?dp}!DDw^epMMzx86ER#y3C-Yxb$6=*k9MYOv);GW8-)Va8)J> z^tnggE>A^_O0BI%vOK8>wh%cg6E^GXy)VXwb6R9#N4_`We81!(8o=PkB-vbayafC) z1Z10GH8RABxrvrW=iF?Vj%f10i#ZF$>ApB;28H2DRJ%bBt1)7h8fM|o#hfE+KE{P* z_p|64qHdzz}NG?usGg4J)1qdGGwKhTKw)MA;*NHRF&-p0a%&VF z0{UgNU36*N>*A+-}IR8$S zkC_ZVg&=f}`da z>?x!QmkPuAGiSH`nFbMUIKeAHS7(B#*D;GowScWOWbNb5+?;G!!#{?#+vQ1OP2NJE z42M{^U77UJ0u*53VNsZ*>KD=%^~Flmm+gRc10^3u2RV8&^Gw-2@E<7DQ6_qPd+y>* zl?IInd@m+1^mv~sLnSM$T-JGJFCDrYL;VHZ$V~yR?RsLQ-BycONkI~KNR{DG*#ub7joPoV(yl)?~1@nvQX4)Lm)fi%)lS zy!R|xmq2bd7+%6elgW>H3G5q`b?K20ONQOptGzLoS1+m@y8BoL9&>=M3pjirqSxad z$_BY;V4P1v**|)Qh8^0U_}#)hnIs;RXs$nM_|yY|ikWU^e_)e$PhgZk)F2}xblJ%M zN1>C4i5K7+6eK|G5oalY!ocT3YRTMSSTwgJ+bl>_@g=u+(v1KmH)33t$(t`u4 z4m(p7MrEIuX{qZIv?}28dm-DDfQt6N#WS>Ay)*Q2K@fKpjMI${@D3yD5OZF7gGILG znt#1YR@N4k#%!II!uP`4)35AbVZ~Gz1+!U%INn_xGDsd$_$nQa!3f^~=A7`44*upY$sn5xAsZap zHnFJ9!IkUq?)&wz$=3=QG^hrhU?W9(B*xUV;&^F2Sf6jW!&_N&lii+VG=mXRp-apI z9rS+;F}g6^G1VT|cs8$L0;c%JS4GHS#=QDL$kOAcJ@r#R1JxhQyezK?AKsFGM!yB- z^AK`R2l%}E5*Iu%26;h)FDu}^7|p85#`}k3oh1P1o%zFKHn-x!Wzk|EZti>1jT~Rr zE=t7_8KCncbW!^7(uG|(JD~vXi`U13ti}{ecGVpX!4iv<+~ta>9ktmFJ;^5?jKSpA zuAld=|6mvCbmty$nT7AU^LDJOUzMBl`%` z)u*D6MM<(no0z^Z^?v%}6o;pPudj_{aH}SpSw$McgN29&cg>GowVR(e;}{ATyr~6r z`pgKPS*MDFxp4gk`UnLF#qHy6ncAxe1i)I~JH@`pv-|Tmt)@2JA2at7ztSaByE*(e z+>(Lg3yVa{3aMxNn3RHhilWE=fM9zvj@SQd&jyapYhhq#J)DSO+G8>H2(kt&8zA*L7HM^hVJI2aeVlA(CGS>Nh?6kNcmh(}$g~$<^Z)_ryO^R1JAzDb&9F|^4>BGy0 zvDl|xwMn@@=h0u~{Uh_vC9T91pDq?Fle*RZKjd>d=k06p{{X}`mfjuGd}^Km(2Q_e z!=(5^!aW+<8C&HM7_)I6<|8U5AfBSXK)xOR$RF@gzZLi!!&dV6)Am^K--R{%yNwS? z)UUN0{c};&CuNYy_NCcu1m|SCvnz53%t_<&C&b?i{xc| zi4n6uOH{raZTX*^<-9{z4^nc>)Z2YEN9q@Wf8dqBv<270 z?HA$HzqB{P8@(@H@g2w6BGbG9G&d4n*`tY&ne|yVg%GeTM$@#6b6z9yEB*+{@yo~F zAMn2JF}7 zY(KU~?Kc`)#cT2N;%&4rTD6P`9-ve~Y{5fBm>eMpps2thS3Lz~KkZHXLP-MKH^x62 zNeb!jq$F>0jEP{_-D&|-hzD1ii*u#Ht=wE`me-yixstcoiLMqyLFkyZQCRLQNZ~+a5%37 z)U~VaPX7Q<)ipV!xxcuTrk+N?GC4Ucae>cT@iRK~Dz)sDkAmWi(*=l>WrTxLTilXA z?VGEsJ3kNEORM;j;^G@kF40|;?{B7&VYjzeUztpkNfdjBATc;SEBo{Pkv;<3e$0Qf zm%@L7AKG_5AlCFvE;ZJ*TZ6i7V^){g|bc1x;A?`@?*%0?sxL49(0${RS2K6N$yqO8R*7j{Q;)ctGh zco!y$QpPx|?47zFqWEQisSGr+6Z=n3MgIT<(XM;Mz956c`egT#4fg}&g){fu^dC=J z(l<_WK*0J}&t5n26q?qqRt6@<-{gpYdB2Ij9)BwRI|+kxlIA}}ty7g8oy_xH+|6}7 zt#NT9Z;|`69>32O^AGI-@&5qBe+oP?J>2)uEyLU{wnFd8PYYW=hrOsEfY_-mg`p4rj=ug&Ir)7j9>UwR1(ej4r}>>_=o=h z1rG5?jXpBp_~S*;rhkP{MAjMxlRSpX^jVh6-MqzI!!^zk*$Ng|mMD*w!ccyH#60${ zSC-VNf{#6Rz1aT%U5}i^=a_6gNd00~i{C@^A4^{oYS;RwiM&mB;q6Pt8l;N4?arH` zUEc;lyUB(J)C>?T5GiGe9@=fVc}XuTn(#jr{>-1VXOFx~cjB2mDR&RVzcXd-yWov> z_e$0nsp4l}4n~nVPFFJ~7~mc&%)C4MWPBd+Bye2#*H6~`J*tLi)(iVL*4{^Bg`4fS z4)&0@-OC$Mv3CK%uc@?875IP07SO@rUljOv#nx7<5*TcCJB@ZYA^!X6`f@FzsQaje zW64b9V>SLo=ao2@4UDOZ#ksgeft-9o5o)S z{{U*QhZ6XY_CE2Kz>f`hfIotDj}iE9#5UTmfoEH|g!Yrb8d&Fm3&<_uR{Jbl+T&{s zV2?->O{bF&_UyKcZp{?ddUf5llmtikF<`fsApPRU?GX~npmjBc;!g_N!=~!jAKAa~ zkNAd9lG9(lv$wXmj^zB%%5Sx4-dB+%NZCTS%DnBbZ^Qop6K9rYl(BQC7Sxs8FAloh zN0u)7?-sTB9-cccl}a+Eo8`CK*Y3W*iTwzN?9i&eaC(F3{ONbE{PSPVywBj*#JfL> ze+xVZ@hZiwfYvnFu5M$BRh4b-z}ayKW#E*ZCPGICZhP0J`OnWFk6Ql#VsiIK6G9c~ zyT(a1uYFI*rw10|`W$z}dwZ+@0EQnFEi5CsZ9+W;=ToytKh{ZgWK#AeUqa1j7mm$e znl_$2_)p{gdTXx@_~TFTwzaHWJ^i1C{9yz5cT{gX6NsP}n76zNCROt-rjZF42RQ@v z$40x39bZh6?NO}!^+~}UVQ-Pg{qpVgujSePGRN@S$KEpim-TtQpa!N4I@&}Wo8VEcL`544y7FYu07P6 z{IdT5g3jqJ2kgoFF=~oRi(icQk+wg(KZLLFKAE>4koB)?@bAR$75pjF$hPpO$IsdC zN!uRzybX63i~J`j$l)}{Nzo(61%;d^1nnST(t0y zixK1KK9yJhJz`%Q!1tI=Ls}Kh}#j9gg&J&A2OaKz&coZk(9io zx_LcO^4U*=*o>zdtHxJ?^8S(h%KUHEWEx$LnFMl_mRwBW{E-Y26aDtbUdlMea(e#& z*+b#IhmL#&`(t>TC@d4jUIy^Dg}h^Dbvpk5$EKP6xh=M=sz*aDyTUmb$|>U+uRZwB zc)!@%HLOaqdAA>Gk<{ce1PZO6eZvO7K)>LQ9t^VhqvMa;PvHKvu6#S=PlHl;3ioLF zjC!@r%xK7ehEYAGutV!19mRZ&N&fjZez#63QESxs>f+c+vs%uhYX*t(I}kz5d>_%BF(fuL(5dFV@Y5xF*pRhOl6TjiF!#!)j zw%!lC@P~-J4S4sOBi-J`K8xZ{?6{dOgwcqD+szy7+ITz>gP*UwY4H=rW5-@M)3whR z>zeMRr|NQPk9957gv;cr$B+wfK3)fJezo|k`!V>3U-2LO6W7Cbz8QO^)qWj(dDSeg zT{gSLWv*zkYLQLK{FuJA5e4TZv)qdNbHV;G*Stlfe{cA+P`%eYRed45)UR#=i7xJ3 z26-GRg$0QxfCoJVcV+pMqZ>E)o+dj12T`<-|IqwJNQ^`?2VOhZbKvi@O>-rs{BT^@ zNriZ&K_qX_bZmpiVk;%>(auN%(~gwuCTn>_kug>cUs*!6q^y2Vh{46HBl>Iolz(d9 z0)EZ^0I>;>_^U+MHHj{7BDL_1#mhWW+%%(XCe~2VtVo+(wkS=x$0oll{vdpD_!+DC zk6iIDiGO9!gt2&^QMohUYu^oZT}$Dvxp&lJd;JnXE>%MvvCk7J!sPG`d>A}Ssja`1 zvk<(2>@!#wm-jQzDUwEG*ROwC^fPGT@lZ;oC8F)PvaCH!D)(mH&(u$a{{S1b$?bGM z5Bwkfvpzcf7}Bk;QP;x16}%s7s%g`&nCxI4Ch))6uhIrAKY4k%j@-FoNIzU?x~J`3 z@Ylgs{{R*BKiH!C;y;9ExBk)7d|mrF>bhr&>~HMLd6FBeyXcO(;#V7+$bp=N0Ye_Y zggOSHacOfL(L1Z;9EKo+^{>AE34YN302e+V>QG$xL&m-$(VNH?Oo@3RjiB0B%h*l= zfX$7#Do#Na`3_~^XAWkHPNfb>KC#pCJ^I{1h{H#nJFn~d*!yR~Kefl~>+wIv()b43 z$J#8P6>Q>~_D>FYmrJ>}*YvH}GsQf#Kd`fL2}i5t*ZKaB?IkBQ?uG{{RGq{hPifUdJE6KOTP2zp?(SrIom{ zvhc@?W!5}110sT|o*UK{>cTk27Yc~D#zqM_{CkT2hqI+hSd0!M+~}mQZ=U}Ea{mA$ z(8~Nup*p_KtZeq%^*pM>#5%ky9*3c7Ryr?`h2Ya{^=A@WB8*E5>0TR+RIR!YiY2<* zWNdN4uOjic!ViSLIF3Cx#{L8F_09A%eX=-h?5#XIF^RH#w>o#)wNtPWB&Fv0*nmb( z2f;tJpY1jKLVm#i0JJ8b`!W1R@n3^~YA3z9^K3PlbXj~iZKojss zV^*?*TzH>Mh@6$(aepj&grgW{!m&;O4br}A@ptTd@#Di1tlAcfWAQgfDp+3V^Zk)j z;1G=#qxNSkMp$g#Fb^Yue^34|MGwHpG#k0*)HRv)C)=|}FzBkN2rRPA98z7)z1TAo zI3y?;t?fg?7CIK0srZ&ibjyp2C7NG2+Wo5bXx=52(B5CQ)Pp32&t(7rD)OHgbBq*I zt3PQT&3>nsn0QZ=;;yMf*}o_HAAy>rI=+Yk>zZD(;o`-D3+p*;!;pE{WK|FKQbETD z9Mu)nFaiGnTn}-@e&_rd*DgP3zYchZR@7|t`+XlyySK5P(_L5BP0nChyvUMfTUZzZ zsJm^>+-JTk!n{ZOGJenB5PV;2;kY~ztoSFynw{(04P!;s-r74^83rUxIalmt41=)5 zLpDk4U##T*JYlL!+2W}i*i&0 z`<79dQpVDSY8u8{blm)(5tP)Uiu$!>X-~vs;#B9rHnAxSldNuX9Q8j3}`U1 zMys_D4#8Daeidbo6`LG^U()%04m4=SlG!8rHvxu*9*k(iXrqYnzP8$4meAX=TgmrC zm^`TdCj7XsjJ$EIMzu8h< z$1yI_Sb^$uk81p={jq*1>fa3h8ClwC2UE9~UcH}2xV^ExHn(Urjv@Z}@~2@s)k9DzZxZC4D|; zMLYmBC#lwhT8ES~4wWu6da7)jo0Qi1QbR=<~=tdpjb1W|?nZBWxj4%Q^#)~&Nz>9=x7bq3RQ5hGh& zi)n2%`JMsC&i2Oc@a-ck%{XGn0P00!cso)*5WFX$_`c!>drRo%i|qQX)cTZ>G-R&u zB#~`HA1|s~E&~ufYl^t|p?MF*&kXzz)2#0;Ce>OyL4LQbZ*6RLy9O4Ps{^neP6GMB z4aUD4#8j(1M7h2z-%t1_>XfNdr5MtW!_fT#{fWGNcj2!Vd_ed&;s`aXEjs$(_^UyC z=&sY|nS^(-B$s%Rz??-fz{35lI}iuyZ>L|zzXEULe}#V)z7P1CDJ|~&LOerdrCdp6 zXK!mA<(8o`SiBOu$1Tf%B!Y6ra#~wx&jX76%J5dJb*yNHYsTMm46Sa`cb9J)kD)#5 z{e1c^adlkVg(*6}6(`Al>23IEemlh&HAfjJuDbo_R^N2(;Ny>DUydKPr-1w^cl$?t zY4G2Q{2Sqa8hCSDk4y2CcACbYr%P!qwe_OIHMVZzxOdIYpERXnNW%S~voT{|vK8=e z?T`B<`0Mtx`0e{McyqyDw110#X0*BR2a4g>H6IV?R#%#iqXnj+r~Q;cb#Mu_c+KU; z8AB@x2xT}@zR!=G5s1%nh~e;6J)Ky|H1%G(qB0D0XjN`;y0`V*{BKX$Gs1och-%*h z{CV-C_FA`r z{w@84KWg-Z{^LoS{x1%A?kgE0#?ca)?XGS!xm(JfD2n8R#t-hY{{X=jzhiwDRug=B z*8c!$FWT?K!q~-mbK|dw7jf9?NH<|FG`%=l+Df=~7sI=YhWtBiWpQV5X$nmni3Dvaeb_s^!N|@HejUUA z07d+AqxHPs6B?YUZ4{)tTB2%NS$|vgR^wRvMN0~tZ>M$lJrCr+_N3RpWS<%MOGVW` zWzP?MXYgjWw=%DY^dAwKt~BjF$zd`>C572CMIkc?(4m)dw{barg6r3`J=~xNuzkFe(YvBj?vHpdVUq@ zKk!Z615WYR$KN0PD%R3g&^&GMcj7ODFWxrV@IgM86~L8y7Dur{6!&c8ZRhr$9?8~U zMmSj1l|;JD^FK?z-8_!mO-W8JQnmb*zXRL9Xx{**!q3`c_M7-^9KzxaAL16c@NLOh z?60mrwd|u(da7CK)^X>iP~!svz6AK;r-*f}8&V)Bp4p*2_cA|!!M{rVC;hFwLnn+r zGI#^x70r#Ej;-TQf*%b0cdYqCne|(}I_lDCS-*(1T2-3o9U}v^d=v4y+BrNoq}>sT zV!jHzc4*r_%D%4?8`8TxtSjZIW9k0@_$Mvp&x!mg`*VB^fpr zH7^QkkzO3~4(TA&ir{rv$R`*T^_Rmqbvqp&REFZ}X`J5{3~n>Wl@>euSLfIK69-*w zEB*=*`z2`)Zr6Hmi2O@+;LTDWIgu{3?Ndm=Akrcw5s(f>KtO3xX(9sBrAX+A z2uPP25~R0;5&~&w`}@xM&OK}0KhC`qRx*1rWxq4eyycmf{)fH*I&ETLYye_l0D+8v z4~UKhCEtX3J_doz%s}!W5a=X`nSmF?2-FyW4~Rh&#QdK+2xP(__TP0&hV%ck%?S`F z&J)D+Kik*=zyAs_^1t5y^Ox~G!~Y)f{fYn8nnCeBP~}BFfcNnU}9uuW&&P?Ap-b6 zh>3@p_pItw7QQ0k(u@O zUG~R11m%LC)$4``@a(`>i?Hy|1H@6mTLxd9e~&WqntRw zc;a7S0(OXznTh$|9{t-q7G`Ev7A7VZHeetB6=oJTcD9r3{~qYTApb`A*9-VN3H16; zlmAa&^f>@reDrD1DMkhWOpH7rC}^;{s#>uA#vfaktEcIp+o)NqwR<-+QS%+WG>RO_ za=P9}iIwqC6|q`dxP)u*9Kp7 z=j}H?H->~AJQkrStTVp%FqDS5U!jBAWs1V*$b3wGf9N2hzZfHw$noM=75E6|AK9`3 znNwIB7Pi_0o!sQbP`s&i`0~D|6Pyhpn^-!iuz>c2;+#cs6DA{urcuZC=b>@?_oN_V z_#N;8b1fajj-W_2DbHc>DKHor;d+03SVVX_Y3Sn&9YnaR`1QF*vG+ZszIHcgXjHa! zyw7P8s`ED;sb*s|Kx_Nm40G*Vp)J(ELAb0U_7!1abP!WL3TqRd`eUl*kD<@dhG7PB zp<|Ku92hP|>&wkV#2-;PDu<*bAd^xN_}>FN4gBw+gmiaU#I-{lMLa_XiCU=WTsjjX z=>T3dQnaMC|E7ahZSgxaHlGU?ar<{BJO0y02Iw=S0^J=@r~L&Q^0CUQM$)>#i&ubI zE`zBY5Q%>S=cy1`66m07y>V!~sV7Y!#AD+x1UlOQ%)(y5a@L;?da^|a^}MLMPG-Cz zN4tsQcBQq|2QJ~a>SYxRl0i5Gy3OHk({W+(hns$NCLOeRYd3O3Xu-9ickewrum<3N zVnYvEYTvJlo?WEzptzCbsHyRRNaMK^dusw{(#T1w6o9FUd!5I>nN>)J8j9O=&`Z}V zeRR+#O%%xr{Z#{ENRhhC3ap7dCR2_3O#mI_P)-Nsd;WUia>NTJF@K}gGUU)fCuzyO za-9%y#(E8J3w$Z!YvAo!lJ^y0@|v;-SN`6(N=%%gc!t04g_!zo&^}`*9w${p zikpuPa{xP&|Vdk&1K>rrD41p4}ObTzxl0k%E9FF@FGP+l%_e)golgT7~gPVgM>R z-B;yHGFf$v!3TrD;j=f3l{)pZ4TWg359JO8(SMhF(m|5-h=U##s$jgF_MwIk-1FD_mi|B2@79!jpu9M5SK4B8>^`fj$wY$a-a`y zf&Y++0b+6Qs4upXyH0{V;Dc$%tLGoroQOI$$H0042LWq-GFC8?wjykrIN`tED zNb$9t&3LW%%%1hyRS`?;g_J4QD#qv$G%rO4-`|a?cHTN7D~6vX`IGO$hoO=|++y~m z==-FY_aux>{g}@dMcePmlBNusXi<4vOWKIE+jK>xvh~6fstak0(AkElDe2$_hkKFU zeJ+ZN4u_T)Bc4g+YiBRT@wD?Y_nS&(+`Q8#Cv~ZE06O(>cZdilc@nPE*wxAYq$o@~ zMhqg~qP=Ttg5BQdlQwCtxxMw!-%3 z=eTF7-xfnxF7@~TCm`KL_ticf)LKq+9zk;fYQPtXbYdlm5N3#}6KHSflp!$@@BDH< zbF@5A+fx4%AH^yjsANrP2b;%YNgUVhP6-sG`{nC4!Jo@b`19+5X(zp4ZTpn zj+hY`+vZOXOB1w_dg@qY++^tE8t;eoyWlxT3;2d;!kB{T(#4MIl%hyWJ=eGuqL1A= zRfA##XIeISv(Zy1{_x0e$6HCmG|N;gV=iNgeBSyI!@1-|5^$WA-{3!oR}IR=kDqz$~7#^8WQF+aLv*|Ch1$k8yZi-9MO^8iwoh~rDbfEMqi~}$g#$?k#TPOe`i|~_ z44v~asF7R-Q20-aW_v^o*rTs4Tm7KvUz4J}e)mHH10Ce-k09`aW?g(AJA~;skSu9C zv`}S>GW%q!gWj=@{Cls+R4n{Xi!UU_7g3}PlJ?b+Ku&EWkWe`9 z%2P1zuIWM4#dOI6j2J&Q-*{F5k>?~{U&($mBpB`E%x@85c+ih{l(X{afl2yFbP>$s9P&%jp z(7f1UirqNn`WG*|y20|2HK)_VPke*C`_1I7Th)ZKmJ&BCdEy27T;M}2IRAc-4k5`R z5mC$3B-B3OivX{lUWcfmFLoC97F?0+8MfWd`>P-IXjh-hGvM4D%l<9$ua`O}0yl;R z{Cd|+_#=N+ahJ^?;*Ne)4&~VsqevS8SU)~kf9Ea<-rLDbO06wt56khG_Z(}y87o{x zo^{dvg$aqdZ7lm;cBdO~d8}~tbqgHMiLK(bqk#jQLEkO+SD${X78BB1My3S+M41_?Cd_i!sc5{Moe6CMZK=#ShJd=nqu@A&AzPxl_ z*_x+C)m(SQm+R`sRhd}N``;}ZlEWVvOX`LbF<65Mao=PBP+RDZnn0<_>xDCN2`Yj8 z-(7t79@S@SrZgU15xcxt?v;Qk$uDT2MD#$3ChmGz_XjbyF1#;7cj_ymjc$5B*VZ_b zaB|ty7bZFW(hcyDlnQ_`zoAKqGb1bMn>+8$LZK9@;VSgc`)K;`|Gl-oKoI2ZO+Ek* zzO#srlvaFZfbFf+C@t#?O;4HNe6D^RLX9uVb(Uc)>x!686piIpo40bOUc2sK1{Vun{yO~ z1Msi7+b<3gl5p}Km#}2Yty> zN2gWWdG0~Y7L=9RKEs~W-CZt;vdnoJC-0@5k(=MTXY7%&<6bpJ5uuhqAMHefs}UU* zQ->XPDm|!#ta%Ci8#|w(o)o-aSH9F&N5dayW`z>l7ZLM6Y`4;t8btOfe}`*#NH$H- z%?!Xr2Pe~XVZ4XfUv{lk5f!8jq65Kk9$5w}yPJ_u?$W+p@9a8+E5*aFD6Ww41ypTt9HF5HaViK33g;zAMq-h?uqca7?;T#R zEFoSb``T_#zvjXB4=FKABgxXY)8a)stIo2cEWjOg8JG6VDG5qaGez6stydQfL|om4 ztq`p_Z;Ev0WJhfplxoe^W+}_mPqb6vA%w#=Xe9JBT#g2~oHb8EL!w*kg^;r2J`jny zp^vb9n{!IM=WA!eKJLZO<8-XqBuY>}&+{>Ox7X1@FIqWCYxo`FM?!Bma)ztlf%NWE zC$?M&mszgeIYVXXzkzqKX$@En^-VvsH(`%=OCFFilY0LClf2ZO0|8VbTmYuqg#aNQ zqZvtti#=$v28ENX|K_mCBD${p8ODAxaN~iWdr8sQ#p3o;IlN5;$8}@tW_% zYP5!ztlNf5@0$!XdzX2w-KT?6_rJlVdaz%^Yd#g2E7gu99y&Cp9ZhLh5LewP_Ylz- zVYo&+!Mnq`)-V<#=!s%$1v{?giwy(vvw3MN6mI12IhDW=(sG@&{$K$syGWTs$KGtw$Wks)>C!0{V|n-rT**X5@u z(BX1kGi~$gw0W$E^3J2`Jz-ndC%m(LdNC$F)xcbBVc-O3PMRcbm=3Dd?vf^z5TLl= zqOeaEg|_QWE@sa*3`SnQ_Ppoq>B;Z;Zq_336Ti5V+Y1-}rk`s=JOh^<5A5Ng`aDC< zDP@-JVTxJ`^M{rxqv4aI_Fn1fnUxVfHPFitoqyV4D2bv=b_<7+K9G$(?xAYkQ(9#` z4};-4lHB9cBYLd*WmCO{o-9k&-SKyn7Sj(2aruugT?B)GJP z=t~Hk-@RwZcn;Ap>&rXiB66PL{BVC_MU1ij*ni17Td?bb7w8~w{ zS1E`_lH(#mj3PIxcmFv-0gLHO@bS}_v+oUkUsE>~^4u*zRPThyhqu$yw?1S)ak$KC z6t(|ovSTd6?rDQo4%9I$Ut8p-NS^S6D%)3XW^2Kj6~oov%fpnkOszKhcV@JSuu;wL z+}Fn7XT7wlG3&wEiXlVA>x{Q*$F`-7-hXWxyNRAE-=4qk8uug340q4=2lwtcIv!OI z-8{sX+#yKjEjq`C2%3KQ`IVGN?CAkWttx!!wAkgkt?$k44^K4dzMBd@d#c@fx%DEU z2$cfSg6*`=pCK^=j%&&YMIFDL@p)sHWYs+{nAJ%Wt|y!dE_PHZSMpPGY56uroA3Ak zo}5X@NHd&KNxMl0u{t`C$V9VYsGD;%h8?0wx_s#Hn=5@W&%4`67(HBoUv!L(@V?!Y zxh~^qVV!T-;wFfDptz^d{8ATLCB7r%sZc5BUYWYfyP#NcF~%&!#56Cg6k`+AWJ0u` z^NK(cIEXbQ0epk~mX+G&+3D zTvd14DcYEZHx{imEhm-PuTN`kwb*2aWF8iMY>K*hD$St%>D@O`+#f2tB3QA9-F^x4 z{DjP;1#;!|3a|uSP;pZ0^h%c`cuY@0HVbPHKGm+M<>`(HdG6h6gs%Ql@XBaQc27F< zG22&lHQM4$=6n>Bpr}ji(5)zqsEL#LfkyAI2x@?o`2m*vva7(xqd&)_SMGQ1F2Lpb z{3kgU)K;$vKi@Mq!#E{QZ#MXu5haLUa;ZgV{6)Ym=bvb1T9`%Cm{GKIZ&V+i%zJ~F zG>xT$raar<;^pLM)3e;v%wsSUJj%lL5(Y*q-@Xv?F>w91}B+QxTX!4n+!bZQ;9?K{&AFhyCrE-;iSeYo%`x) zyLcjAn*_z#=j2qL?37I4jye0Y1Mk4N3ni!Vx8G5u`M^tNO9f7?2INmj99tZ zd&3ypi;2Q#QluJg5mO8{pP6|&g=^tu<|boywl&HpFU#gBXB1U?sh3PC<*SRygWkJ) zO8Fl(Zp?pYN~eRMtoXDA#AdA@5D66;8J6S$9%0w$=-2NBdOx{eVmN&Q5sa{4i4)Sp zdfGw60m)e}_03w84wnHG*0#&x&QfWL-)KvBfYEk+G>cjYH?DC8Tb15&I=*p0GeE#e2YVH)w0`OZ56R(tFze<4-fq$yJ#r5JLDXS`x8HYq zd`3#q@B7`mHMJ}&j3SHYzJH#!RkC>^c{3NG(kD)~etl7mDSUyUuZ$rl*8$vPiloV= zE1^h7F8YPv=pdX|j#!!8H?)`KMc=9o+MDNeQ11n__no%JMOrHzL}quWXFaxl8TK8Z zclgXXlX2J=0IQeLz5;j{&FloZ|FFz;NIBCW8bnbwyXDqM2kxTBr@|(V-zcg4I4`3-ixOr%b)v{ zPo_X*2L!qcvz%D)e6KBCSZ5A2q@NW=olA(T_n#fr+~8Y8C)Br!j&by1%XvqX%)MPZ z=4Oq$WOv+p;Zp~iTc_ovUw@kBzX)Q89DB-Ia+M}=pS;P%z zycr!7iD82a(E8DA6lXlS&5kvW;*3*LTz5J%@u1Fci}T@Mqv4&#rYnz9>pvskeFK3m zvOtbxc7wkps_D*g_X_L+m^A?Ckdz8Qa^KD{Z0Wq08i+CqbcOK7pjB9qYdhh0?V zLvvCQp}_%Qi(@(L-);-~aCdxtEFsx;LR1hwv7Kd&Xe0GEb-6bhfz!*z- z&bdyCezmuS5G8P|{GMg~WsPUgGn+WVdk+sQ(3b<^Gv2(g*E}9}^({-%2X%NLCBG%D?e_ROpBmN zpN|i0OdTkQ{OQ@E2SsbscXKC14c>Vcd+tc@wg?Un@ZZ{P)~mP!V$REZmq6~S(vdA5 z-Q3)dfb<%T)RN6qZq+Ba)Ymtd#($_wF%XbSdHVUqQ~p!zU(tbXhSVbz9h6w4c<~B5 zBo$Di-8Om`M$L(1^RV@iLpQD8U+%|F+<&gG?J!b-XE@=jyWJ?aNBOMsM)C^d`~`sO z`0V>vDU;m*x{{2cINHeXtEJpa=+}AL^{e+&{~UO4z`*)-*Z0oG_4^e8D5vk9aC5HT z(5;sk%3ZMBp$&}!geu)uiHU#UGxoE7g9eX0|6b}@PTQo3X9t*U+XUSD8~AUOW6Qi3 z5$$vk{4s!{(!$c7yR6jew*d0SrsFSHhm6yt3iqUET5ffT|E-%Ojch+iRw&gs_eJ;Q zyriv1@0_B8D0gLDS#??eOWKcWjv{Jh17(P}_(86aeq{L&X(S;39-w~PDhw{LAwS(6 zqWb?^mO{U#XNdq$bW0AHcipi$HE7nVnUNZrd#J6kP@dBDvzNM&{lewbk%JaubiECF z&;vA2|GK|zq`gA3qscDko=Ry`0~a`CC&?G4y#tv1{{X@gzE$(G571shV9MPyqm36> za}&@zW!YELV0?VEgMsV2+u-xPh}nO;XpL&#sHL6$H_2%AHv3$!1TCEwqQQ0f--!ub z&JHG!TcT&LL+Ky^liM?Iw-0P&{-bZgkR^1MYynkzbOJ z0GE+q&4Ro%HPpiQubL)z?VA1jy(|9)%-N%ZMxDvq%UKFjd^9ScSGp}Js99(7o;EOr zb=tOW@3$Ci-7?9X-gxQ*V|b;$8*3XL7Pu=Twm{hEqF!rEsvJCAB-!|-Jgi7 zw7KgZip?nc=rcH$<$?FN)Q)js~>Vl%Re*MNvRTgH0XN&9u89%z8^aD3}gFmNz z42Yw|SlWQ%xjoU{@oPV=znz-uG1&#Jh-}%-3lf4-GSl(#^%CbzbOpt)cQGuoDhW3O}Yyv5AVP=Pibm#qe zHru9>MV_0Y*?De%iRP%Yomxh>&bG_tiU#~#HHDSz>U>Dbb#OXI_?}6%*dWu`V*Wxm zFOVrF4K z_2-K!92OqqXvYsn9nJrUq}_WAsiXFF`gd9Pd)z5(JoiBW-W=#W4zQYCCzmd9$@D(l zFr68@``M07Q)~~N(Cnz(4`1E&`=d*g!J{{y<3Ex+ZS1-+U5KRobLjLgh&~~+FmW

kV`o?K}1bb~2^V#Z>hVSA1Wbak5M2kK7 zEN!G!kos2BUWt*II2O+L#g-(0?3pQDGa+3U*zj7nOkS<|gh(W4@l5jMTMbGxY4`o8=tdrP)LNldTrm!H#KS`p*Uc(xM%p<(Ckoe3x4$}biCXy6MItdG1-y0CSYwO zRFaqPe(nz~?h9NaoO_INc2Pxg4RFfg!WfubXO_^t)-x05#+CB>uV-VZ;UNLBQyjgi z-)*BBm+KX2QsIhdf--VpLq&+hveMlY`)dB|*HPYGzM!z8*sH`JIMx9BWux9~28X6S z=f1<2h=q6H`m9)}D|9A{#&+-#KGfvgUKxiLfa}5R+EpkQaCgdVJ!ycbK=v*I>25`y#%yM)el=!)5UpDbyH-4n81$~HB+8n9g4`7Z9lWkOYQ^41=~}w_}v>Di^BOa z%~NiSJ~=aXz{w)^?a`QGx$I-xlFV6&HL+^7vWpDym)4y7Y&Jcy+zIHKlC4+`3?q;U za0#O8Ij=>MBTKb^r0D(D%>3;#9`0H`=jA7{{GrS%IK3{%0-=;r%H^hZE!9!|Bin23 zTKFIxRCP$FdNmLRM1U^OV+%Vo$hS zRa4Vg5&NL!N!Mp17men=zQ-35HIG!u|HKtkrg3|stDs$e(>A!)tVL&VxN==1L7*bK z0H$I(JltYcYWJhz(5|?EU99}(c%|PJ&T~Bj7u;>!uAvPO?HY8@!)9nq>qY8UG(co0 zVrqIj0iO~h)Ov>EG9Hw|)g73_7=Yd3w7o8wUc~X-#qx}4lDAY?6?=8vX5wsg)~}wm zBk;5EtJF&9Bk0SfWi+-j5nO8s&=Wgdb|#A1#i$Bcc2CcunSsSck;T;ZWd6=mk*@(?K5K1aQqxWF2>;GdG0CODp?v<)_u@h#!Qw#Dk9hwyOZ?nMb9fRq9uXNsPmXt*D0Q45X7nBpr^WXsh&Cx?}j z3I{5F)|fJv){NAmwdThQj*=6rnS=WVyMH3*08>w!#8h)fhP(UY0b;V&Vv~SXiT8K9 zUef=;3)rp(^s9Bts7s=AvzIb{rN-QHOR8W!_t9cTfp{sWi$D?uPa6^gvwD!9Q&{w6 z!mV6<|Bn6Y;I4|lo~eFRcyr0sACV=3L+2q$aw?k*WLHmAU2GYFkC;mZD1awBOb)Oa z94b*S0#~`EuYk=sj8dAo?~AAr^!_ME;NC8OF#169*1P);k=s4x$ogQ2dup)s$jt{q>qsF+#HcAf1lwbQ!mQ=uGWX0oUDi|_+JnA`;_ ze)U-V6SWoZm(&UlzcwN_N#U#jOuwCUNY>@BdCw44hqi``Mi29OSB-cL&s|nKxM#_A zvXTpbxRpYdgq8F(g>*V|!U1ZrCMzCtZVoe0Wan`Ya=t2?w!SNqS`?-079eF3#rE#? zsYtQ(lwx#tB}vYv4NOv4*(|{i>!}vPOo@$tF|AxADI7l<5AI62-X5Tm0y#JPvCm6S z?buc9tq*PFFwGQF5@?%XCDZrV4pr5#nM8gHA3#)V_Y9qaL5Xl`O(jGRhFF-WEGB`? zNYRB9O;NMM6cwSL+IH{{MGR$nAB}z8_Edb25}%)nDb%rgmy{X|E%9T5k7KG!y1pYU z@s*uuq%%W!5YAh{_<&;$YD|#Hm?dU@&EOqU^!Qt7z@s=-+d=<`{?ww@hRTwe$MphSd?;=`W3N|)2c+e0|aNoRKVAF zx0nJGLxv$2P^Y|F8Asp>B)_Yo!Ff3Dcp67_rrdboUvzG#M0>vNqUVSF+gYdC7Uk4f zz*lJ9&>EF4BrkjxApm3r05^c}U)k=PrV9v?O+A=Hk9&c4I)pg*!Bt>p*5b3b>e?6L zYf8_h^74uMc9+Jd-rXt}O_}+0bm#a1L4lY}eGBI#MU8e~gdkcJUeW`c=T_P_r?&It zmrdozF>gQrs4{6!O#8yX$jVX%>m?RbGij1Ap-w1&O9)|TAtah6=Iv4K(L^l4ap;C| zItoA1to=oHvs!Pg?7&RN5w)qsw2SumlhRrVG!@*7y2$zx3$doZ(OT3YGXo>qpdPZO)NxXut2Z0tseO&(K>5_+4m> zlK{z)d};l+SCcecjD#qhS=(UV$}AF~lqsWH_ksqxi1m9KzYR}(3Q0CJzNK@AdZwgX z(Wijg92o4y~a@x`tslxH&0OSwi4x zySvo#u?5c$f5W8o2MYOiqNX*kED3P@$1qvhlVnxg~4K?S0%2P&eJvq?4pLfF0b!fi+i zII+Gh*j1*^QtO~x`p6^rPJ6}$dA_$OwfmZ z9A@P6lz`F7%2qkRLm<8;p}-xhE#k+>7>Z-5)2(cSG#|}gAGIZ)&7Bp4hiQi3smcBx zD~}v5YlN*65A_q;Z6{vT_gxu`ZxPjo7V(^HnudAxM5s8|G$roYcyM<7F<9-=?0YG4 zLrUqZV2@5ktn(|xi8J3P72Qei@Wl!P>RrLK(_?3S>}Dcj8#VmME3hu2!}wxf4%POIt6KI%#ZM#WyQyqnH|K6io~k((TM&hY{gaXcwGULiL8oJPF+3 z$gvmG9+AZ9Lm~{$5oX?;#M2IPg(@>4|1u_Z5DSGi^Z%gj0fTIGa$|=i8oI%v@ZT6Q zxD07{pG+R&Bqi?mySt>>*D3sD9j+VBK-z9C&svs|Dv(?!rN2knueFd;ApB>_^)6?`WWqHAc7?8z8M@i zaLymg9bYDO-#%*wH}kdp?VI%E<8(V9A2XdS3Bl`~CGcMCJjK^N>HRJAsrPoo8Sc## zG4S3ZFwe((wF=8ZOpmt6e*oKap6UtP=;3(svy#TDvIk*tCdpcC2Jr$%}yWw~Pjkj=~xlP2>y}%;u4eg~^7`ja3KRhVgtwl-7%HZaHDJDHN<~(>Rni-^ z!ABum&UtA3owx2IbdV2sa_TyVR`ztpQhE1_)L@R)m}Gf7K`HOocXu0SwenR5!r5G5 zfjY}IE!R;>dZV@C1_ge((JgNN-$OsFq&g2AVZh7ZZr#15DVqB=$x}gz7dnCFf+SKn z^Zwd8%!tGWc~87_*U|a8ZE2Gpx;?jzxB;vv^C~HdECO}sJ{8`12GMbw3dKv7BT34K zU4k9xO$^rEYLX`Z9C{>)2JzzlJjvAAntFO45&E9zH(dM`JY#&#j;pe4Jqx%zaFv-a z<7}So^KI!9=V%B_{>)}A7Hiz2rk;o`!UYEt%SbA_kVN$F!_LpfP2bF|n+Ht~pn;P# zj`BrVgAX;EBG2`l0~azxO9y-#?ny#f8UmZ$qNpQBGjNBJuc0|z@%4?esn077OMXo2 zw`}=ocI0-++)0ZhM3MfUmrnh`(zw+Yq#8N1;!G%ChoSO{+->L}8Rx%d+#h!01pGGf zxf8?F0iy(HC>nb_ug#=QQFo7d6$a!0ns=cnk=GIJ0Tf3m1yiyn>CRt~PDFfL zsWF>+#3jT=``t&ZWXz&*Na5mJ)Sm$Ch`T2c`>N0;!ouRAbs|q5jy^M)lP0VEGQiCF zV3PtOv++YoaHVL2e7BK({R@w0+wbFe)xl*vqpb={7h2UfCekzlJVcddMX+Ws9FCWw z{qH6abTyNwiNqtRcC2%OA;-f{2DNylO&}9*aseKT=v)qe@-mZ(YEl1&7;B?M7^XWm zyg)CM!!HpkzADO*I6h2GJ$;;}@1Of=5VH2teXp{AoKy;gbvKn-?k|WX$)>i{Xx4gQ zBh1yr*^hR3OXkUpx7W2GF)qWw8EsAxlQX$ct5@pLuW%I&iSNElDtbNZ3l+~*-?zk;Xxj6{65pV^m7sh(8yJ$( z;VZ?z7rCcqI&U%bQj*J$xz9EHL*N)}!skMezSIJDtl!7gAM@vekZ$ zr+SqPgFC;qTf^)TGr%R2`azOCFcluQVm^G}a}7LI(-ENmy(Rr6L^hQv#_$?^(Ep&l z_xp3@RNyk6pK@(nGt3d>3`bC4Q-WO}* zCc)sle|~rZ=L7-T#?9sUYl2ZS|vXM~{c8)$XvZOEPG+bEPD>9De6#^QzDRzrNj zFdF;NNxC}xW;(XHRm|&_Qp+OjYC81}N3Co?n$DK>KH|mnVZ0_HPAeFF+E)?W<)k`6 zkz+Kf23HM~D0S9#z0G>_+Mf4?tJtLU3jaDRrR#KX5aMK7YZ9Da^Ve6J_k3wx&d#*T zHZ-CZO;TY^tkdkdcYJ=~!iY0$ zql5ZFDdKqTP6_XBZPbaZPKeBKpifxOw043@kWSDG*RN5FCXKf3XN^=fe*DdR2;atW zo&0PWQPF9Wb7O3~MYYuYwNhL2h_X|dC(Bl0VKNJ;uh00(%(*B7)jL*Q$;YVg^5~a{ zA1m!teu{dxb>Qj)u{4_ojfsSB7@Ilu!#e0f6GTH1x+tzE|7}~(=m>nI;*g&KSi>Ds zG@@+YGadeGo;~R&-_j!C))(R4bMM=<3Zldyy_%%-<vq}N=S|BOppW#dA+*igQ+c;ZJQh-sz| zL9mjnPca}3`7HK5q40QDbbAJ1VaoX)6-(4V2RLeXL%B(d3ll{P z1l&%1Xcls5T;r08VsW~4rp}YI(B1Ys%hKn69K|y9sCEb4Bj{dE)}a>EWdQ(Q;W-w6 z>iEQFe63w>NYi`pSY~@3P{3$=R3nftfp_vtxa>2qRS2*a$f$gy$1rF2q{lr=&*H$V zxfNIc27PWqP=tnh)$HwO*HIunW|wWV{6reITnk1UM1`yUQYO+JjX>7m8b)Am zm*5BaUT~k6?d*TO>`#=9Q6}$;N)Z zcZ+IcW{bWL{raOLcS!a6L~1~JeXk)G&gSeM@#^< zc<{e`Vkoc{uMLoNkdqerSjPL>3wCe(mn({G{z#{>bpx(DME+UU>lnh8nZeRctxaV2 z(6mjvlPoEn(7T8RxXHw0maNa=CtG@ncgcqJD~8`pZ4-Z{y?O=?Q+WE*ra}Q9zV>={ zZQ^x*#WX1rD}D3xpVLy!@+L{~2_n;Nj6+O(udh~)B1somw>Sw9zZ8scD+}z|`cOwJ zckD8UJ@uMyocG39n9;lu&VlKLz|-d4K?DDWXy=USSKnQ+s}HMG__e-%im|?AyY+@U z!&r(@>f?bvY{R*8)>XJ);;zav0=UV%>THmlC35}DhlGkTSIg5&iJ{?8fhMgdo}v!f z1|Qr@r`Fk+mujPolBQfZ&l`j3$@@oCQe_x4hT^PkA9=j6n zW+UPyFA=sHV&Uid@yE-f?F~E96Y1BbC(jwMmnNM4bXPS~+9l0~i5*|v*FUX%4_=8= z=EzYfyZ42!+SLBgQLxx1Nzaw=te<#`;FkFgi)J&#hpzOjc?5Y@^m_$3z59^z&{Q_z zwZf&_(!9PROb=VN39?xP#*VTFatRur_Ge5pGnBTOf=4=a4Q3v3NZZH$eNuLz{hsAT ze`iNnS=;nqRCQK-iyd0DA`rob68_w~P&VwVEmI55L?hFPnut^OT+^u8nSORotAbm7j!I$&U1# zZ{xaKpu-c5d~-b6pY7fS79(l7k1Eqf1DFsB(_R;w^u*msZK>v}V*&XYf5$rp-{i^_ zA%9o5Y%u4H*d{l9PWK{CQRg7;6R+*t*-M)u@{)3~HYGS%kNnP?4sCYnSk>fRxC7Tk z{hFbPmbfdVUTlg>dFvPFE6uM##r{o_mwC=CoLbpo$Ud;n99d^jGZxSMrM+XrED-kf zO#U~4lw^N?`Oyjgs>k!jXJIRK**LMtC=p}Fl3)ut5!a1g^VrrR(oM1*mMs00pKm}F82QJ(jcc-B;!fhhr zl-(1vFD_q{%6-*Xkt?fg?LNRcl!cQNY=2xUDJEfNqGGi_4A-Zylj7b5F;uRKj$tm; zqZQbrk>Ao!ePH^#kel`_^kLn0t3O0>f4kBF%%^P>n8< zv7&~~v*|7SM{`5J%Pgj*N39!Qkvp@OiYRwpcZ*XNcR|qy0%MM=kTdukgUk@6k~ulX z3Yo={Tbkip3#jRF4Nn}hyHzY`HaKZZr!5%LY9+oG-6mIQa*Vk_YQ(SL2I8hRgSH^c ztI431pKrkiDPMn1oeAZ0#23ZpS`{8Wg8SuRYjQR3o(dOVQ?76P>m;2x*((}8A+*Ju z|Mk;To2Nf$`{D37O?+Ge1^i`tW2bn?M|x6tpfNs87DQcuT^eNlocn0si`?AR%0Gs& zH&xP{+zRVU8E;)_6j^7E5L!S)Pb&&~et{+Gn&vrxw!R_fAgUP>->8@V+VJ+TIGHJl zu7{0%8{$sCeb?_-QLRN9O(0xkT(ivH(G(~8T#Zm=kJJc7GcH3vwYTXoPPN=oiKVC) z_LZzvO?L!8wPvsiwl!s~M1O<1j zR#}Iy42mxxz5{72VXPmR?r8!SAw*9v&(3eR;6XMUK{?htflZR`1hoC-d=yUCyOtc3 z8@#I;(|6}w(1p|=tYd+d33@ygt3)RaeBBo6MQw@+apG9vhf?vF>~6lt;QlkQB^i-B zV;9d-P%EpgpZ!8uDv~5L4>)H z<)gY+w~(sSIy^lf;q|MPkVy&@hvJ=H^Y@hGf9~T~H-qH7Z!3`$P_|xAQ15^8Gt}^O z;Yusicextoi;< z+S_}z-!09yef|?^_M)Lnz(A;6+(87ty*JBbH=0|6@05aCyHD}uq+-zD#>aQ>-hQ9g zoO4R6(r%l=4cxwfc@{_yT(R+inUk922s@wjo4!?iE;!xS-)?<)Wn<)#7)ATc=d!W(#%`*FBrRiVQsggX%znTYR{&YY_u`uV1NC^*bgX`Ik|uDI>bOJO)<| zq+jSRUWJ_42p~OP>`6UYh~HNe{+oN>p2ay=>S8jbNAsaT?o;8#NpYFwgd&(z*yC*5 zAhC`fBgJ2;?L6n9lP1=#{YahJXDrwk#sUME>d-*mQ+(&$93tPce{k?BSwd!|lZ@aA zXCze-iYwozu`~aN z`u*ZQAtC#|PFa$WBubW1ijahmb&`DGS?1TSCj zLY<~agX1tbw1}j~iOD_tlcH?uTC_|3CH7NgSXD-|p`K7hI(=}lfL zc-{^&7;)H$>m878IPuGxMO{h#$FMV5`1ONieWb4($^x6`p1y0ociwSgNrAWQd*GSu z#!ITx;fG&Yl%Zs|!yB^Zw5cfs{Anwz=~%Gqs6l;LfckIhZTraP-_WIW4Gw{;23-`i%Dn zGxCnt@TzcXQQSK12l-^BmoX6paiPvzb#D%1fbe<&_f zD)mFH9MRe3E-cQfkz?Y1WIx_~9;fkY0XAL0^07$dOd+O0HQw_@R5E(T z+6itAty=SJ>w>PyDuv&(d}g_Ys(_J;zQ8O_x}|uG{B_wJoa`aq!fnD$V+RE^bkT;g zM^#UVXFE&X-14QrPueRxSGaL4G`o+{+9JIZ~TV&<}L0VsOtOA1FzU-&U7;Eo ze&@gC{E^PKV`1QIuKxblXugJ>Kxd$I0U`hrHgTO8+DQw-Lvh54-?iNumL05Qxbh|X z-v#$aGAqtCZmBnU$2vNT4l}nAX}M1W_;GOtrX_AI<#f5G1vw!`_W^m{V2 z{NeZ+k)88ODDvG9MgGjwlFj<3V%FrDV#e|*rbHX7>tc$I_OpxHB0Qq=kipyC1m?7; z_e8~NiuqSci7hQ>2d?5pOxFUWR|~LKj7@izKY!O*)H7K&3BA8x0=XETYAEuL2S8wO zNg{W4fQJN;TP<+ii#cd4?*n$&uEjuf{Jq8KAL$^_CRL&#ZrI9c9vfRrj;JW0h$z`8s>Qh=|1cp%$(DKyz2v`8@48#Cu?`)^?c2~YYIlXHVU$Xu;gUhpGB*zd=Ct-$KFL03 zLP2=&ZTG-vF^}pKy!y&_o|VJCM4tsIN=1dt|ZAOQoZ}(kn;;)b2p1V5zDu3 zvY>YXyO4?c?P`))hm#^@cUgz+fWTxv(sHM%g77J4L#sP)S_9tlr&!dxw$$tIP2J%<2?ZFFpwX-U@Kvm(wKZWga~@~ zEJWd9stm_v4}}K$P0*qQ$3gxN8C0Gl`r&GUAy--5&dPSi;@vMN&1lpyckk4S=M&O{ zcQ5@;n68WEeWX=guJEA`_GPKKXdE+7rSLm%;E< zI^{~7iyrT236F(`Zx!&fC>(0U4-s2M>4pG^xo>@TukN*qCCyL zo;TX1ZK4#&St{o?Mar9UKDyOs{#|C_bC8haeboW>cYoVOHARQN>8K4)3gRGwYroZ% z4UNZqcXJ+RJT~>>ug{YBkazoL`f1G#RJg;0p_~$Xg|2n$B+d6l1r9ue#M^W>K24nY z$6!XvW)Rsvym%DJ>U{IVVBhVajbwJ34oSGD`C^Tvigo(qYorJ_iDACX3s*O}MgwMV z2vP!w9=OBZLAQh(7YBsvJ zu~fCGnp~V-AJn<4-l%TI4NK)nmupBIxZj4H_-t|I-fmj|jz%7r4;m1miMA*cXB}$B zYMr?gP{{{6hyewm>y~n#k{Q0+M+p6W2Nl;#sTce{JS%3l9e^oDh^t6gTN zS3`miuF!8%_VL!QmKzTT{rT@!AiZF9+~T&T7tj(&pVpeX)U(}!xkp;Orxd#GeM$}F zgb8N#LL~m!O}HLfJx-A&pyn|c&>Gh=k1Ui|I1E@+=@^+McN(VaqnE=uP7JW1tk-9Ls65lx#kS)A+P zITy{9;QX)7)(7OK1@){;J#E8tM=d)G-b)IY-ptDT6; zl&&z9-F5l%kD)5?m@mWgwyM9u#K}K~?2S-B=W#3FQ=@Ke&?xKvI6*FP=7wydZ9&xR zu%~;jpUkT~uz9=?>v{!0@J)FXEkeAp09-6 zxpI_l*FQh)zZOo9S=xOyiDcH5gEd=_SStE@I5^(M7*++I_rkl~er(Ve94Ul+_vEb0 z8ylbmNeyQcM`$@sXPB(m{pufF+x)u#C472ei|zH#l2t#m?_u~B3$4d73Rg;>8v4FQ zV2yu8Urf_WzHFv_7V(z!IQElkGPttDjAyHCsFyHn;+b z_WIsetl76;AVd49jISX@SDWXCE_XqtUrVQ)*ZwXc^tU?gP4bh;tCb%g$S5tWGwIe& zdKGjnPW8A)51x32tw(6RC~{ryzxR~M%W^+c9_?WB zS=sIJZq6rXm7Z7)&$SH z8T%yG^l_7r;%i%VmQaoBw`Kd}8~c}XXs0NWWq-&hf3bq@fv)GPuRnBsHCoBLIav~2 z!D`1H^f$NL_YL2t1oCThGpoUI+S`$wV}JI+%dz=k(#aZZ?egD_#VD%5X7SGu57xJJm&XXXRwho)iN$!(W`1j;`KLIxh0+|)C_o>c441)DT){2>3V z%4u3Q-EhT^f#GgTS-hEPJa-VJ70HWz3SrYAn04KB7T!A7kn$lL3j_U_Z&)FAX<`Pr zXT-@6N){d>3OAk<@7&)Q*$k~0R#ePz+3yt&jLIu-Zal>Ntd>B7BBK+{DDMYmm4~-g zxT*R$>Ji_(hm=iRaM6(K!WX}0hh?BvHyNyA(o}_}Xb>C^(Rz8kzbN&fSh=@YZFCc4VdVsqh!Iu2}DX&un^~I1urD zg}1lE=mN{%%B|NAREai(sHLr89yp|dboY0SzvOycFxzF0Cu_HSR=zjcy^~$T|AFP!7$fYTqLpNuZlxrlvdm#*_*v<)1IW-azP^6!Au*2jv|*(8@$;^@+?;|Ko2 z8+O;Q97=2ntETo3BOq62tla)F*fdFBwP$?H``$$tP=qnZ5K)o3N{E3)0XRp92lON5 z&0Uh)VcmzaDZKa~xOTQDuuA5{NDXH;aQoG{Wx)QP8daa4oew50t02o#|( zf4q8KG63M1xltmPzWieVIV63~05Y{_3g~-*#)GhX^s~S>HwHsx1Sjafo%l%^lLS?g z8(hD_bUC-#G~`h$-+G{wdo{ zuadV=l?Rzuh}{EMs&3HbMgAK4y6)XYIG;W1bbXUBqcB~4yp^bZH$zH1Hpn0+!?wf7 zKxd}OUJ*WWP!Eu^__x{wh#oJst?(a2JdbszFLaqSz6{%w&vf*7kL1E%<=Ic>PmQS{ z`M19~Uu&{Oa3~sga!$j;B3&he_Q*$6t;vNWFmDC*#f9v^Q8kX+ZYhHCR)Ix9sV|q( zD3d?X0=3!Bw&{Y3uikP6^=0<$?IzMVw7&0cUUS*Dxe6X?L7`x8RDZ17sG^mPAa_R~ zF6*SFT}IeLch1Y5I_PsxV?J){sJ=NEmazE%!{9NoR4`My)Wi0ZQdS1Wg_bS6P%UOY zH@+h8iTI7)@Z)5g?|B!A=;V+vSxC(T9wMu}HaFrQ!%wWr57ngX-uJ#p%V(3UeS8@X zA@;}BBiddkcf@l+!ae@5sLWoQzYlbpUMTMOb%TUz=Y6`IE=EK&F1x4y}BE zjQP`R?k3ionPJ*RHXyVE6EBN)2 zxgM`VxPDVx)i~kFZ|k|K{?-NkhRZ?GiJ``#0?`lVr_PBjQw<}?1P>c52l=Ai^YhxB z&o63)lW3zxORn#^ejg{`ho?-Onn@aMK5SQu>K|>y4#L*Kh+N`n?jV zIb`~8qt+}pmwl~{;}?0wdEAxb(1O-DvA3@r(k0>?3y+pS;fFWi;<)$^uJvO!5B#iV z7CaIPEjuh8?KKbx5VsBVx5b3}ZG7X`2AiFcVPO@$b$g0R=Fm6tT#SCVrS5Gx%4Kb% z$`@?yojB@}jl|kIH64Dr zUehYZ{HIBoe{FtrZuhq5vdMZNe`pRS;pnBGASY@L{W{u%z5yMeaIC2x((S_|t-jMG z5>;OxT$#Er^&lW7Iv{Gx35iEdf9ZK1Jv1@%;=z!sf6Z*?&sf)~+cC*%7k$+(Ub3H@ zWUpBjtlV~^pI@r*v}*R~pLqo4K7ho6`GQjr3d)F!YM(}44eUHmdCjzXRkGnHb!YR1 zsyWrMs zHTbjkd0NN!B*LWbC++2zp3H?G4!IAVRrRwTQ!$ccZ1ktA+hyjoy}S0Nn8PN~hHvL~ ztYOn@5`Wk#V}grTgGAo+g_ge-jfb|emq;?$@lg&o-}}cFbILgeBxUTblxd#|7933e zdUo#Pa^Tq)EFniTMV(&m9XozS3htHPqo@8tFYLH^vAf6;Ss%Vo9LljC7G`8EkV0CO z9_QN^v=_vC)gk}=`d?gBpEp%{Y#^B+isk1sOZCnZHOck{Z`fonih@R=F!`8P zl=1f7$R?S+QFMwc%X>=nNaxGSyu9_^&iSm*TxW(Ks^uyEK~pX{%r8`y z)H@Y=Jud)da>DIh%Ahl|WbzhdeO<&!GsX2ELk=VtyrJK|NkX$fIscF0!KeQqwgd>T z!i2Usv1~%$mnBF0G0_yvzu?#Hjr53f&NtCky3o?(tYag;wqGfS1YCj1XqxvWywY9Z(xZGDormg<*%2ePLgYXHV<|QoG z*0+An!$SLaJcFqvlj`eD@`)MRwYFCxqQj3T^S@S09$X@il{Sd51kKJaV68vBv%r{T zdav)N$}lE$TCW$*z2JU{P@;DrxwOqbLtv@1_d>^4%|++WyDe)yD_mksf5x!sv$syp z0$_^}{}SqTVkT;-)o!y-uX0@ZeB&L6f<;74mM8$1su#gy3zMLBa*N{BACIrgq#c&e zo89{rQabPF{PKH5hTxv&Fyj(Q_07k>a>~Oq4ICS+A65?kjCW&w#2%1Z4@NNk=zjTl zU9K%Uh?c1<3%hPz1yd=cyvg58yM2Q;Id%WO9$7JQ3%m5;x|2G^ie!SX=!-p^9pb$} zL?h?=x!KOy-xG8XVf7=$ zyjFuq8=hR5qDqV(R`}fg*3gpv;_|Mk``ycHnr~Roh5UVsOoP0)#+5&35;o4KD13qJ z0@tzQAhzXHs}CzT=9=nBsut_3K6hw9tr8A=HWMi&Oj~d?z-gv&!Bt7e&;^ww>0<%Us&1@D|iNKgr5~JWPq0 z$S(dv=dJZ(@0DfXim>TOS9V$4<D27&Bv z<_&MYmHWIhPV6isr?eSv+_xhX$Gq?pZ&@X$Q-L8A4%~sEHXR2Q|PdK2L)hSHoh9EKZ3kh;!Ed0BsIX5CUL!)1O zNp8-itf7zVX3V(QzK%YAH6`y&kUz^yVbXm#)1A_%SY@8J6X>(*sjIm`&O1Xw#=4E=n;O&I(iID{&m?jDB=oY*1O3p}m1?M*P_f%tNBdS(jJ`{{|Sp zQVua(wCOUqVytzXGm}Et^cwAIw#kvL;nDKdHPWhb^t2Q}b{^$a zeCW+8-I%zdE%wsItUl$(gZ;~Tp3@KXw6ex>D0Y)%Fo$0pR#vI(i9Yq_#9`<%Y$Tr zh%@jBk5HL?{Wqw?^wF>05JM`n+|Ms&fX?I}gG|;9+Q*h#$;BHJ9V3(V0KP;PaS2eD zyroPQ4{_BN!Bt3Q`kI__-)b3gLH+0a{)D6TooK{=40kBApB#FwEpoyAnH||%zjbke zXSN_kdN;J$0ybiRz`NJbS_tppKL$9OuI97`>S$5FlsECgR;7W8ym4&qeq+;VkTE!a9YQOPmT0D=HLPLd6x)oIU}(9db5IQ^}Lx!hFLE zyOE9G0V4dhC|krcRL2UmmlboIr?=KtEWv$7tM^Oe-&bY=m&PBc6-eGUF#+JAmuFj< zETh_S#72>=Uhn08{8^B6@7}G+v!Cu^5_Gv?UwRj7Cc8m1xI>Ht=5*`Jk~bePUpG?f2JJo@NKLYm;uDQYzVRr|~8K{DGMGb*!o8J0DC0exn-h}}@X=P=9VOQ{4)gAl@QQdEd3-=5y- zdsb(;|GPSgqr~o~R#Ma)8rEf8^-&XTmubM-0eUAM_&r)JQ0r(Gg}6Lf^FhBOJTJxt zh>X1~zIb0QIKm5U^t(Kj5|!t<<6MXJ5>{CC{-q)w_#&4)L}^|gj_o2prT7rnA2kp? z5)hZ*kH*?02l4*f2hF+yl|CkeC(ZBh>FUW2{ahd93b`*FB>KEtQ5{_U3mr_rv8cP5 z=Vfwqi;kqH7l~smwR-GAyEj}ieCZODqA4y7dnWWm+anU@B^|uTnmUXV?)IwAbE&of z>-+{z2r+~rY@cjPD@MBcpZ&9Nfn?T=c?A*7?QRo7ciFA(%{gp{O=Rag`e+<~C@zq_ z{TP=nsk=FYXJd}HGYNZmx3U|kME3yAPWD1XmuLwsx0d}QXZ*X+r{FJ$u88x*%U?Y3 z2jdHa)?%ULBrm(7#_EK8WuG-8!EOU9-MgIw=bd@7R!DT-U)^x4!nWmuxtI;Pl=f>I zxQ_(D1gs%KVAX({*68`35qJA=V(2+i)YJFCW}#s6;CD}M(kI1AIiV*vsgAaSgO>@q zS2bCl+5A|&Co4tK6va$x0ky-OFoXR*BK$r@T{up9L{|!?)k{RWQZoEqC;VDWzB+p5 zXr%ec)}&b5`YUBSg|YXD4T?*o^hAIGvcvHt*o~8E`Ea-g6l0x5R{#XL!jyV{t`z*E z2oT?&%p;`Rcm<(2bJ{iWc+^t3U-q`>1k6q|Xidq9gUH0B>}CgWp+V(Kx*z_ovUon( zcmc@q)c&rw($cZM5nk!bX0&&0>Sdx=rG>7j>D{6qeb`qyx<}~fs8EKG18KF?TQ34i z9T5Xa(eh#+ja#daXHV@mTLUD;GK9l)59{Mun4e)_Z~`wbcB(>By&mNE>Onngu@ z1frU;>pKp^ECyRtBsGmX-+!V;pV_%!NXu-~m@7%+7xWQF0wm>>pmicLKAbmgJTVnK zjUkVI8w>I0#%yPinvNbcKE1PSR>vpf{H$BF?dkjFw3vqdG|0H{_998#bV65-{tL^t z+u}mPFXLHbcMh7jfXE{Jwu$vPRn0l*Y}%}&ouj=$e^`l=dikDg^ZUX|Q_&|jgOF^b zpBa$25=QV*p+t8yD@s=e7K%gE5}SKXaYJey&!0JL3NNTv)}OeBij~z?KgjXb_*K_$ zl{xM9x(I-N%{`=}ra*rIMj`O1iD&{ho=s7k6GR2VuIXS#JS__e9d%1ZPTMTM|5}Kn z_dx5M!htinH-al*xU%Qb8(>@2B}ki1TP}CHtoDDaIp2EbluK$!s%}gX(r&TJsTz+F z03`C&s3f>EUec$RuJW78o$q~IS5fM#23c^hlO6p|cWmk#)a?Ao{xKOyeR#o*DZ?ZH zR8k3|3Yp+DQKVUXGL{D+0%(FDjGI|kr@zz1P$r|&tu=|)PR<$G45hUU-%z{PU~!L0 zg)Vn=>L0@@5To6L>|FyEE-8TTAHzE_@Lxc^C5w=prw@SZx!g=3(`w6OWel?mo#Sjk z)`#|y2T{-(bcC$jCDaBdgUCjd6X`n9sIdyc$~-e+5w3!-Hv)DPkK_Gkw(Ka5U;lvE zsYbYj7Uyf=(@e}{eg%nscADipqBKW5^)wLS7BcHB0!tr@;)amM`J|KbY zZd($jwxa1KbVDnZ8U5~OvrPIs!17!`Udx132@{5)+N%Kh1%)~~b8j(8{7N-fZr||( z{Zwj@T^6{~pHQNN3EVu~+^n&E1N^lRHlL(q#4)G;PI2k5B;Mv=)^Z;y=UPiL3_5(62NWx#73) z!$3KROov@;7M`(e*8AA)i>+a)y(6mn&cx(vqt7$1KN<<7HMj-pUko_beIj&N0&Ew6 z&fIT9P9(`@w0$=G#{g*bR!}S9>*R~H#^mZZC$flNHfs0UTfUUcLS3S^g7WfOa#nZ2 zPr;}@wNBY-6AKfx@OzJqiI&*I(Z0N~P$BACoo%?dPB_@YG@ktfsFL6s=riHUb9D@KiOE-iZ|;oQe=HehC=j`zKFG=UkfiTok? z|Bb=F(f`G-zL+1W;k!$yAiT5?J#x+CKNqysfK=%%M|w{q$A)Quq1oQb%a6PXBG{{q z&!JDAfGJJjT3_tOIvwzg$sxn$dON}3nTZ!EfNNC;rhQwZ3X92g%6vz@bxH_#rzm;*Jpd*9V3D7yKB5xL&F(Gc z*G$&@Slv`BR9!TZ%ox-rknn7&)YJLx#Z2{pX-e)=XeUY>E=-(k$4c76y87A#MwUij z#eOQ^ygKI-;IuI;k!gn}=NheZ8Y?&_y0x(W*cT3vedAPcfKO#%fGt!KL%Y6|`5^iI zFSqyuMEb*$S3o}*7~-fq^m)(0AHS#4j|okVYq3*^Zotn`$xyWSci0FX(>n#6+uca9vpv2;O?nM6W>z!4Jgph z8=n!*m2vu`>zT`@_V<=Ak?S?;g|7?qIvUWujZgNTf3!pLgI!djuwpIBBvcnzxOO)g z!Xr;wdD*IyKLl5F%_RFI(^I(JCs^CuYD|t^xW2s)WY{cOUi|YKBSX z$}IN*{4(HXB4#b#>=y<($4i^J&3E zhLg7$x^HGh@tKj@GKRElT_I0|Hi@;z-k%Td)<7vLr^J|$PGj*3hes!VElqGWeUGB~ zziu)&@0f%S;rF57;8$K&z8D@Stv>lZJc)B`I_n#X}K8#({ktAC~>O z$-MVB%eu)pP$_3MT67I{^d`*ww68voS;%32q;|p5V*4;7{H*Dz$&S2Bl)*2a$2Kv+ z7$&bKcPw5j#h`c6bZo((?zMgYg|4uKgU9Da{H*7nY?(e+fIoge$HE zsMwj!lpvDDzEvwFsu1WvAescZnXJgN8SD8Yb4&K3^xl%I)kBS?74+BA-8}FmhQIPS zVBg2!l;yjnL@C)MalCN%t|Zlcw1w?6^-A^R(D=P?Fh4wQ=2|Leo}E)X;wS2PnP}cs z#0H0L6LOpNL}O#|bc+p<0YVM7ZqZF&5a?~$tJ7aVBjsYZt%4-P(Z4|6ABzmao+IhYzG z=hEI|-G@T)uLvdaR7SiCD@?dU_Z;adCKfI|^VToV^j(_hQdL4BZ7xvsRgL~&l;G_? zh%sD*B8kJC@dn6KjmsgVtoSxfJBm1l!3AN<{ZcWsCfpXpv?FSdbrJmiq0GOr|M5g%*W8Mg zna%k-NiL_A0KGZ-Ag5Y9NGJG?Neo=G=pEFz=7rcK!48v9t2uYqt7zj}z}o!W59@0d zdd%tF7caDYX}6OnY>*VYjFuq@5CL5t`j6w)W99j{s2XloL%ad;z&Fu)*7`R_UO(a) z7f^2Jo;Yn8nps2+D`x~&t3vQ^<%!OJ*kL|tT8XyN2`ge+ii?N>jtDPUjUmY+8gS;U z8l{9OwYU}JWuGBkt>k`ZBoh)dCS_!IE-?Ma$6CZ&mM5tvwrRSgUOnmyK#5b!ASbYH z@RE>Wr?C~w3Z@}Dv(w(6KD!Vz5h;}T%);vNsYi8;lHAL5Qx=I!{j1ow;ksirG;U)C zs6fgq+&hJzSMCj+cXhIz6d}+7j~X4$5F#+N^voX14>}W_*sn;=OxS4o+F!~nD zi=gr6*N%*2{xR5!_t5t;SQjruir>}L*NR`Z&bbXcQ6Ucm%#rlVv2YXu9&BV~!@bFMFZmatO=bXURnUH42+xl+3Bp-X z8@$SND7grz$BCzg0be8Oi;I<$sdPnpKZ0ch!DfitkQvto6Pu2AOoKOn(TaKOcCF?3 zE=JmD>1%zH8Dzi3KpT;wnnNawdxR zL4W`>z)5edr4i6^hvKF|9ZiNa+ck&#JEr5AW5#|VB84Z0S$xdas6pj~GpsvqQoqk9 z%Z}>HCh#dGi|H9z>4m|`BImKa*t6KBJP6U;AAqY-X=Pw<)LAb(a62dtR1FAscA*LghX4UoEm|~qsZ? ztYk2zAm;u!ohKe8)=2XAGB z8=58_33L^T}>Wqs;I0w9u9T5j-=$8>aP8_ti!67Zf_S)m$PmvD`9tJrQQecn#S3wkClKr!W~ z_#u`&K>I=$q63%eYETbk2DJ>D-O*;l*`Fy+pXd<$TC0`q`WU)R=;CQ}t=0~RUXk9B zi)T`&06r$mbu?b1cA1+ZqgzDU$Ga!EkX(AOH7ar1w_J#ew{p}90cM;Qn_IexN1FB4 zqdbk~+PXInbA4U=<3GM^{LVTF0567u$ngLR4cIyIZCONpavcCRRqI^jM<{m@hkL}p zahCV;n4HN9J9m3tw^la5RM_gL*G>)0_A9(r1S;I4f5DQ-ku)@T=>t|6>vRq|oTExFS_r$8 zmM1hxQ0GYDHm4O^4B(V8mz7r1#0^_nEb#NAj~CIc;-@Wttz)tP+Lu4rbvO#lja|xE ztb-&`6+gk~WA@*Eebm@ngaKWth`nojstL+F{;sd~xK1_kh>M7qGL-^jMQ=fBu-m}1 zGVf5JB-GM6q2k1tg2ewsRZzf2;~`tczjkK3Nn1Bx)wXtLO$?WW>AK#={m{ML&F{&4 za~%wX7CUai&GEa_U1ToOZHgs1l~$tMc5|cY&Ja!~;?7OSqeN}{a(&;iD#tG6?3(nU zhuV|MD?JiCidSId&zScB7{$h{1o$r(etx25RcwMiNPx5iF;vot2@0rx{7vhe?HPl# z%9m&L?3 z_1mCY2j|dX`}c;1N2nluAHR=Goo#0~u=U)17>Zoqwi)2_#Gz}!eViubG)ivB5?BOQ zjc1u88#NNYqMpNPkEdsn?$p{ps^=C6(7yUc=FSg$mM=2oLLiQif_<_(<4sV|c1K>}Hj95G4PM13!Npsj?C%d2Z&W$Y+ZW8@7j=2sg>Jb6YoG|xf4L_ z4*92S$3gGFON9#}M9j7zNuGR*Rs(hy*`@<6T1hIsrW`m_!nMJq+!W(Qyi{DdLuK<= zx3HSgilfY%!1P%G#Z|#eHo)x)ZA=6a7*8w_qsSUv6lo2Vgk=U=-~nki$P5L7TSMWn z0`su&BmCVF_M<%MHtg6+A_@9N(ci~kV&qQHwe<3${5`ra@CF%Bw(ye#?h|Fi7?wj< z8ty}>4-LX`r?g$Ac;HaN7fRhpLg@U*^RKGsOXrn_g0%Hl_x*$nLcYIPm!-)G6HF=q zCSsi#Fc=9q6DgylOOktuP>c>D`SZZ6>3$UqFjF zphvN}1tg8^XjSu^so%z&+=9h*Ih`BVcKPTH^rcG091yJS(RBa^%%sQDgFhwC&L; z)Oh}k(Bzh^WxQtgj`+#ov>BDZbBi2L0t#0FlXHo9y%#hqPEG-=F*X*&15|c6!3@pD zS4Wk5YTq8ah8paIL2D?5d1NryH?SJ&7*(g{aT86v9bfD}721<-=pS$nEQXMMZ7v!E zqTKTf=j|&o1`-0%-UeGI&cN32DY#ei8Z~pOPsVFtU9YAWwyeX#;0|z~L$EX4y+F4; zs(>M2D@A~O6Bq@Sq$(4e@sSiHPFWZtcISEie~}96)^O#t-qH2*U++IPaC=xP?q_0? zK>rC5N2tO7Tg47)AVmweJ2OfCJb`tEa8gbI(Gt*T?TrH%5Ed4Im>?$hbuvb$IR;ah zwFCQj-3_wy-xj9puQmgLq{_aRx|gU9z=^hm0p=q(zQu^RyVTQ1VB`9l0MI zu{iY{fTNvR$n0ntH2PYHs$Hmx;Y@=qDeAQ6EH2U|yq?bh+@`MO-h^T=jVAYOuM>HS z!Nf%Gz<3{LZIgGsXId9~vXb{Ft4F~iry}KP*@$z9UywQsAqMzJ?*F`^n!X-%G>8Sk z51W|9p2A{X51%2v}Tih5_aTXe;2wKm&IQ05!ko^jFs6Dh-dXdQn1xF zDF`*hFK|s<4+hny^ajtJNImbFb3}I4xCu?o`fLPcer-Q(XOGeu)fqMJIpWi^a$sDt zLq?C0vw`WGh5OahazlV%BbAx*0*E(F%-Hk|3&Bq9nO;4@qm%qPHL?qxOjfU1)}f(n z$eLdGpRO9WNLib5V0ljw#LZ}thY?nd*m3EkW%+K;^v$K+^cBuP=hj?_%3h;o`D=_P zw=Nlws2idRC*4YAJt9oejS^B3L6CR4JhTRc>fnhTVjf-n16_qC@RMe{K-?`5fYO{N zL%jTWt^5JliPxlaL;gC?==P?^3f zVPY!X*!XX7jU=ngRp*$ytqo%;eid%H&zY2}9~XUeh?Z?YjWcaI2~(grFbfbJJ8We# zzKN*Ew}!Qt;uVV1P#+LVOEqehzGn(dA{2vXkIY?uhFxQ%QV`z(`P5zDm1bq4&(cAl z(+CAB^9WrUE;7>M_2rMQLTZQKSO4;b8!qFbzJ6anopRi}gjNgNTMWbu=76g~-N<+} zH*%>7Npy%=0!=UD{Rs?{->4eb6L1wa_o|>0J^9@(`>90%eiFv6X_f;@w_eo~Sd5OV z$*dz!XvJWEvYJ8zE!<119^15x=Z|GSG<^> zt#QsiWa`otpPWwpl)7+_A%j)ts#@|d7I37KaxNK&WJh;k zdi-b5w@9Gv52XEe2)BPQ=oN^CdY66hvya`~!NROI={Gi!b?ESu!E;qrna=E`9`N)p z^T-YxtovPB^}#T}6o*%j5o%Rh#|X8?YpZ?IjgmgAu)U+y@f|CVX>mOt3C%ZKIuL!iM-cx z{_EEQV6y;418^<5_vKBXb~7d?7cbeq2)y#Mw0cn{zr04jcdwD*k-B#%5hw0G$XaeH zZ`Ts07aP~KQVI8<6ycyCTu93NdzIj0|D@e@M?QSWUGaBzjSWp{4OXcN5*h6G_J%3) zLNUc~Aa@kqitO;#6{b9walSX~saJhUy`h93b*+DtEX9siMA$@+V&Rjq$PNtz zBh{1w1;)oi`-*in@xFZSXG)1_&gjnx0SAL8^|8B;wI1MQ zgP|Xb$;UBd-CJ(J-wpsD+T%4+lRO4f!`1#s)cfI^@J!cDBH2$e05uQ^er6MeGPXNK zU<^Wc2|Q%9JN7tE0B?Pw->y=G-2ca5E>8;vf;6oE8}bSW+n;Z!uWzbrsA;aNZ>i3_ zp7>ZUSv$yJur_TZ>TEe1GtVtnW}EIdL0VKBKUKMI{D3t@UzFeu=eynTt+m$B5NRc5=P8gHtd9;r2Y?Q z?;X`d*Y*pepdc;Mr3L{-rAb#xf+9^s1PcN}R76UIhzJA;2}ODdML^+}fJl=rRZ2of zMWllSDM=8eNrDna5^|rppZEFBd%m;QIqO;Hk68H5I@vSpRp5e~@>1JOkBWrtaXqYW6uFH+uc_E0X{-=mm_)UOMaunwOfx z)^ZDR0g4zkv`Qn7AHi4+#&Ua*?VOl>@TY9@gA?iGFX~UVa;NA;L*E8E^6t`}f-41E ztc`gcATY-5_liwW6kH+*xsg-X^k^MxKZj&U^-2bMNAL&MbDkI=Zwux!sMTfy2(Bt6Fg zCFP3L7$hylm2-%fC59w%n`qNzZ-7B=-=6uU(Z(t@#5l$|kk)Y#CpqJ`vb2|cuG&`l zmTTAHP@dqC=ai%{6W{6(r!lw$+#mO4d=Z7mQLeM^@0DQ%FdD#1*2{e8)OJkMguh@! z=v~=IhQn=-B9!KB&aCx4Y3{R6h4X=~5DL(El0-YM0Ik|JEjH`&WzJ2?M_;WXh2+)n zwkgF0S@Ev9Aa!0PR7Jh;T4o~GpD^kITTBZy@1d1rj3+rN054UuDr}Ku90omfth5&= z;==&EKB!d9JqwazMEGT5M0POMIA7(0g!kcIC;jZnDPLa{Y-ealSk0f~ApS zo{*u=#eZcH`1dlfia<^mOlp*)jK*8#^+`RtiMGAUfIQVt5wK(gef-%gbGZVClqg=$ z;9EjxpFNbXuVcQfsLpkUweZi*%prs>V6*pP2?(Jjz{FNyN(~G|tYXeU?$R`eXL2Jd z2}ywXI?kDXPCd%E-`tzg{Vt$hc;;sV?n^Yq47B1!fU}+$2EpiICIW>Nhm-V_onEMz z{Q24Lt&98}L)iquD=x*OjvtsMDJA?jdAV{o*>_lOoIwNxv~j>Ks)FCDxBb)kgTP$H zoa@aM1+mFS&!$Oz+k}ttMNKiCy1KfjB3^upICF+~3VRfDp03)BIsh8k!}}mdfDp=N z&xYt^O;9+}>76Um)Zpvk66Ylor6>@}sJD;)vmA^%Yr%PdK&~GkG+7o>pwQ+%yo9W? zi9<;rO~?`=47@_3GtRu8Xo&xIQ%hW3d-;(0`A=;x1SD0F6dYU3is=BHt-y|u2|XLK z)Y3R*AhXQZD8CWqMcZ>9lUG8nU}nb)<5iPagp9Yg$Qx-9Wk-~hY9>g0urq8~5HNTg z6Gqpf;-aw{fb7P>38c({FKutLuW(D)M9ix4JbGF~JXdpd*nB<$Zi1Bs$E*g<1DxNV z2ol8r+fvTxf*|J9bjHF<-E+V+bxq)0pt4kU0FM)eC-sx_SHZe2l{CIT(Y>I7z=8nh z^Fq_+dLRSIygB(#!i)!v>?fXNC}c7EM@<5&e6#1Z>cN%Ff0sIZWm5HBwzKG}aam!4 zW>S&ZDWOk;N1%-zzb;-5;o@>UZRPR#Nw_yRm}r>g9AdH5IUn;)7?3__BvAxZh(t-R z1$+!z%NvHSkzczjz4(|JQtmqMW-Gt3FxWToalGvQzJ4ZeCYS>w%^b#fOoI<&jWK3) zkJGRv(*ii^b5Pf%MBXyY$5!nAaoa`5K7ma63@YDqWy^R6YZhH_Kkr3|saXLe4JN|r zhSozm5z%llA~#!}j!Gsfpt<{9s(!Xnk$DiAHEy>leYJvDVIP8)Ta4mc9!7+|@>}!= z0RuVfga6Dsh`LX(fbs+*)&-xXmP_8-cPvwBoEMlg&DxGO28^& zwCR!s*b_)?jp`~IL}aabUYVg#?qAd5I$W`O)&B9we)Q8b$$~;H)D}1d9I&QvPrM-J zUM4eQv;mUD#2r~C+RhH4*$xc#OkciClhK(u<@x77A1H_38n|<+u_3g(zd$g&_RJ9; zYNot5cV0UkA6H#%;*3+sl8CLSCR=${AjrC|ZgV|y_Hq~fm6a^Kvz>V!l%8zg=)*YB z2RMfkSVu7OKpl!fqYOk~mC=DTRf60OM+KGOuG~gqK(pH%^b<|$=0wYqmEPS!0DcFhh z_WSy#{B~pGM=O>kTldtlWSv4k-(w!*t#wydA^PC1^}t_XNRv!d!S=!FR~Dk7eENAB zy65OQJ$A@zAOYN2L%BV1>_W5i23!9@)fV{4e6?KB+gi*sMxD5A6C|s37QDn*D`Ox9 z%gvSol<9Guhz6}B;t5-ZaW_%laGsZbeX0r!)uysa5r_QsxgXs@YBltpZ@-bDYpWIm zyJ}f&Rlu1u;2atwEoLBOV4~Pzk`UGy7~7$G_3WX{=-5ouqMdJeh|^Ti3HMO{?f35c zOjAB>z4)HL%(iHCA`gTqr9nneGn!CUrqkXFC5sDD@VI$}YZh1DM6Zj;aTy6_1R(Np z&Hja2pykvFL$;VLQVR`z$Cx<8CmvtnR@$GLjB|yM7{=A@ixhU-_s-%jr3a1_=EvL-mXq2uHOP<-7~=eiV8gN`jd z?AqlW_rm{<@}pipRV-cpnFbd&pECljU+6)R1A7z>f?4Mj*p5>ph@R1d%Kyl;T(m7+ zCA+PM1aDd1lrJ0NOT2JoPOLSA2kRgo($mVzma|~s`(Pjo4^DZhGt4x4JE<@4$ho;e zecOb0ZSko-o>i-ysCTR3X~dg@mrtv$L!)tY$C@Zy!^^1{3Vw!0`M#k{!^eZ6m{s^I z5K}1}L=ZYhd7f)MMGbel3esxcrrfrX{%ED1aoIynRQoghw22R88yE!JIUPsMNx(um zQx2RDHS{)nATa1LL0}vG*!~E*)PAzM1b=z*ei*{^am3gm&gj#(@4R0@bT6F$xDP}D zGve@Aa9ZoS3|~xGP|)Agx=FY1Hgz3#Cd6s|^i%B^_rDPNG~Jddfe)F#kbC+&WorZ8JEr&qEa(8|#daQ!$C-bGhs4%2xz z%xipnSa1MJgC=2R1#Er>)yN=;hbP*#OMFC6_4}C~xY?XQH zx?WlyKNOe!!RzLiG~lG78RQJu%cU40AEFNS6QLdt#^Oit!n4>3LWBDYFfkuLvSxJY zNp@zrMFHBMuP>(WxprQXac1$1joyLrq1%g1Q%b~7dmzO84wT%?Tml3tIG;%(BtKLf z9MTR6E%#}yp9fO_7p7!VDw&4bb1wRgy}7R!yhMh0>{yLAx(^7d32+3sS%#P=zyLjP z5jrqD1GmcTB{d9m4ntSK_|e3$K)pf?D4Exr2`f2xCt}OY=FnvAM?Mw)kRAwVZU&lI zB7}T$c{}klVa#SQzVY?5qnPLFte~c2asaV6w6QwXG7&!y>2NujletAt}jLXm%zoXWw!%~6R zHPxdFa%K8sU>RP9EzJt#bh{s{rS}q#wF5XBJc>-(kf^b_BVJd-yr1sp&ukKH&C>o5 z@Mf+j9M$9)A^e&Lm2FjNS&n0!I0PUdmkipXla! z<5`XE@A(0L_yHO-bFZ?BbI3+34$Mav1N98ev0vS`6+YY!l81jZ$^5Lo{**q)Vo^HaN&7O{Bs!%RQ=AbA2ryecqYMz4S`n(L2-syRD7FnPo-n#@zK<>w|}I z-XK}Gc4epnES2!4ob@Mvv=RI)==i2{xP$-a1&j6ut)sHaQX>9$I?i!1zvqTZ-OKpM z$o}dS+P@BczMKE#ukrS^elkkx+LTLm)?HcZVmDNn|j|r^1Kr;Qy4k^@*2;(*7$v$Hb)NZa+&egoOx}dpi4AF zFO+;!Icy3e3=@%^)g)i|40-q}akLtC8aVBn-JE0O*DC@h4}`w84azZx>SV==W(WGESat2RUd}A-tMD~^_*E~>^~;Bo3H}!nU)xCK3R+}7 zblzv#v!9E%wyo`Lz3w}Q7@j39(>OEOvscd7xHL7H_*S0bNWe>a`0S>;@nQ{GU=h{( zGQ^HfiXff>E#civz9Jja<_ zsJDnsLQ%ogLh6EGrHQFVX}s3hZukWi=>1X8tsu|`F($R!KI2>7{(}@II7WC5ygwS8 z{ugbU{ntdsut`_%wC?-LM>BUrXw&&m_!)Rv&Io~qri5e8%9g8wZmvkd5S0xgn&zg999nLvYGT7&`0r;$qS))s;y1c{kB9`IZaiF zA2Q%kp^b*1pW=bj{r3Ev33xpzH7H#596hApo(G+IokU8y_%?OQ>)yML>>2-8jm{TA zix&T#hRX8i>BAT~-F?Q1;KZ z2=-Ort-xd$7iABy4m{b4|&SJ!Vyk#+FDaj!X_Sz)!Wu=r>%ZeP7V4 zH5brv@NrT_SW~XXRqL03ndAH&>|Z?KT+8!>p5(h6%%0e{dCe*53uhF!zfs$p36*G< zH@W(?&r9~jjKlqGYuZ!mPX!jsAM_l05#BH6t{X~9cTSR!_?fu#N#=P@zzTima@^J0 zw#f$ywxt3Ao_ExYZl+3 zY`EzOg%-4g7#Wl>{*-$?Dm1h*wiJxy``}nL8d>2kw^xeuB1w^bn~9P@$Ko7T&DiZY z^Ip>nvRCuOi=4~(C-@VNCvLoYp_rk6FN>Ofr7oqDYsW=Rs%Kt#q}{b>g{VaDukft# zmWsdE)YkNn@t#}4B$bjik^1IZbQGWLH7*AW@&9?;Aim(dvfurIORG%$GQRJ$O$2TV zx^#m3KU4L9b9ooFC^YP&4{X@5G(ZR9>ryjKF^1gUU`kdDXlR6n?5&SM;WPE3nTcn=PZ!F=AAcTV;art11+GO{0PN7e33w`@rJM)tN<+A&Ud>b0+ zkD7ICH9l$g-c^6AyCIbJg$o$JwD+9klLB|A6W*F}XLH z(!e=IGL%7@i>HZOQynjKT?;jP5O3W+J<}Suag1Uo&$jof092`2`E8Uv1DXp1mfL#k zsv8<*8|!nF72Z8?Qnc%2)He$2Y*vYF=nL^b57aUl_8UcXRJRD*JpA$i)q;xlAHUJw z7H({g91T;*Z?b>nXHs(9e$F>rr=Rbt(NO%3jt&>(IZOrnfz+^>TnST|^}(K`+f}*{ zx;G^O*`C8|3MX18^14G@GJaqke2z6AU;Q#v2ny=?{qp6YJY~YE2WLnxDjwe_ZkTHHe*eseGhYD=0qy>X^m8;F!wr5N0>vL~*mf zPM=QHL&UpFG4@KzkH1ij)%x9wcX;f|J0ZCpa5Sbef66eZ<=5$ZP_KcP&wD|{dlj9x zr`0J*-wol+aBF5->_k_}jQq%`n){s(%I?luAklKMo-O$Shcv}LWSqT_cV3hWcBcst zVh|`HouoLNP$OIcFuuU(Xd*2RE*w~pzZ>qjJlwl*&kgP}emBE=%(lX2{l@m<3;YXl zN9*MHG{usyn4>s+>+}W#bZW%8+KEvb79`pYg$pam$WyI^I6rl^Mxl+&msurA);XlN z%h?wb$|m2>CaZ<(zQK|gxF2uWBI-nd@9r{geZSAs>_-)rrSpfcCS7br-+Rxe-1_cj z&)MW5nL!ozyUHTu8SE%Y*dKcWfjRMZ1QP((s4(vOtpHBF$kMtBdb4>n(>eXdCfX@_ zJy2O7`Pr`{eJ?4`xZD6p5!;nc0HGgfHL6n_R{je*x@T926t}*Kfxj8lCpIZQ?UDaD zpmKt#{k~i*?~$i=+k3+^S2ngFJ){{cT6Hu9GD9Bhh0;L@bOk;ja8X0S+HZR;FVA)_ z(0tOfJ@-Ixvn5Ne?yE#1<(bjN_#qLAZ3H-N#!;I4mZRVYR!E0$IJ2ctv>#$u#tu~6 zTG6!+jk-rhB!4 zJnK>Yq+w&5xB2r^A3u|g+iFhseDbwvzS#2RPkmP39>q1C;T+tS~?5x55kagon@yA!&_@x_8-QnM9kW_aGdPs^E z77CBU;HdVJ1I0I2M_jyjZxc4?Zf-VyN1B7vP(jGB1Qp)>CkjJ?x&6`dPRw_JO(9_P zHy0IKScKj}@RY`YVVyU*swA3OL=6}1Jo>J6Y)WJN;*GN)9O9^Fw$v{rn}ZMcfpt3w z+)FE&#Lo_)=eg6XN+bM#BlytnvlIuP_H!y%ft>EcD<{7#e10{3+IMsn`!M$Kn1y_D zrs@mg-GmqPFs3sY*1&mvf)!L@`={7Q5Y#7+m0Oj0=JJW?ij2Q6qVe|mz9hTEvoAc- z?mBb~s_E84gBZLU(e%J`4Bq&5ZEuqRn$XpY&2-PcO{Q?#blNK*o3Wwy*x81ESUp|) z;h9MO_L%FDRl^53AhjrxqLn-^#UK{Z+dzIq9;L&!bDn>sk(GkH5>O6!IMH`M zmYcpn+DJJzJ-^kE{u3GMR~mUMH0u88Kg?*>DeNd1%H?y6*phLsKv>l*n$+1Ge8T~; zw)2RXK=sl5*_$D-9RF_Yr-r5m{84&&WA^;*sB10z;U&K}$+MK;Mjz_zoZ$r^Cy+PJ zYcjL<&Tn(^T%qchxML|p+aGmfS=#xB*l#2A(S;e_lSQ(4(@N|tiML&^^(jYX1l*v7 zST*HcYBtK6N=`!RuIlJLxnd=nVfU+K_F?D2uX#*Ku849$GVJWq1yiavCVlm-98l-ZyiQF$@xA^tw5S=&xFo2;T3t{TolVO0NN z$JHPgp{WYTYsY@O+4mZ)`CvtnP2&x7##fNCD=!TXw%)vF8FZ7U1Rcu?dM*b&SHD-x zk(u*VwjBLkBZIh%K|y+0cYI1Lm0Kr0$EB_%EE;#`Sv7d3-4fJXJ`^z{zHbd=g5W6f z^w`MPhB57`iwSq0Cg{E7i>(`S(Ve2&iQ#ft5{ zWP4IJxT*IWOE8Wt8PnYc^dF@gk1pi~O%;8c@BIwx-|+Kz)OpEC^GzJGwR|2>W$bj} z8V<(sCJ>=33Z~8UrEG(pS1hMda+j!$?fs9|ODF@iAD14~&$jYL*4D)R@#dcWQ8fMM ziG$ez@qZsEpS%l@_pHCUMs5fpS4vOYaQ<-4N5KCx;TzqkGkRCTKl9dr%s3qAC1#&Q z%kN-F-D+Ww(O8-b;05m)Jdt;ib?u3{?c-_QtK_j$c1CgDqt>$f?#iko$J)c`75z_k zdP%VeDU(AC?u%gE@Ufei1O0O$s?0mip?0ca@PnTh?rUY8jnJp7U;5N_;!a4E*of?? z9ouuF#>FoK={4-LK=|Sbj8D0DFj@b5{IPeFQ(b;nuY_XCssd#bszud8HSalTm*H@Ux$37wM&4o0911#lHSLFNT_3ERL(IXlnX+O&Raq;+@1sl(;Vxa<_JT{jqd)={Uk>vMO&wr&8Tz zWq)Y1=!xt6b%zgqM!Hg+I&I-1Kuymtbli1@W*rS7j=5aNh?y@hSkV#&{ZLx5fB797 zUz$gJY$Vu2?qjAj^rn+PiuXqvm^iTPFlKcBNJZw`4Ell;y|w(8Q!ZT*CrhuO`lAp?~-g=5QQH59ZVOU4`sby`I^3 zW#;Qk_+{tem-^)i@rLJT@@E{LNAwJDC3JF4g4pTFa=?%}oQl=-#vRt1gS#*c3TuX_ zYG?G0$`jvtg^NQy6Tdxe%cpkV)IHE|cG^BbK=pI#UvaTGuQdoix|AtM7u?-RYIGfr zF_P%)nDT2t)UV7dj`?jUHKl&urmx6lxC_Dl_|g1W>xSI5MtM`v#{8-~44gTjOT)#q z!ecNNWp3WKx3j^5S-DnKkbUD3&Bc_0WS#ydT^k);;+4p8m>J5uH19&q!>*kElld2fD(^Q5hVt3h`qd+YMDKz!zvj(BMo3zW8W>|OqalWfc$z8Ea+ERYQc z7KAo8ewz4%m#jewTvo86*;`Dj)~2!bs`ct#$3sB^ww`0J2p=3{ZT9(%Qjptl>BV2O z`NWCEPiN;BnTo&u=9(&iq_8bm`sm^70LWJplBYlu^Tp@`12gxME_23O=$UgXx@d2g zKfE>>A4(Hxo7(MSf8MM;5J4Sx9@Vx5HPp((27CC10{|tC2y2XPsn3u2XT@#2rnUxuMPHNqig6621Gxq=d z)wzq0Qvzymj2jC*I>2Qyq*qV?C1ymZ((B>A>)f|OXG7|(SGsdq_kLLwd?=nC&3L6mgA+$5Bd+C#G>(2xirbhGWK-kjR`fFgl}hWZ1) zr=`q3x!KOncLnMHHFEpDPIaaHJ~>W0OKtu~z9`_iXbXwYbp*^ii3*wB9D%0H3p#tb zqeBg@+Zy0*>h+L(R@qy-n8OL4S(9JN5VdvD{al~14L^0xa9!c;3|^>hB2cQVu7lVS zS*k^UG-WoHZlB{3@>*jld$0fe=p1%mP*zORl;l$7H zlUPmHb0wEyibYn?*z2VY2(i^Eqo?CDpFM>RY^RU+-k9bt%z7Pk|9Z`+prA`khI4veFig}Ji~pb3iSx^9p~t;xFPAlz)eM6D{Pv`nTJ)V*jN2U=To|b!G9Hu%NeFg_ z*XAOG)pI17OrnCDsE|fArc1dXRxeO{saDyWSAsczd4I-ixK03}*pQ2hYv9O=8T2KB zpL9naMY&%@N4Sr|PJ557<`k4+`$?|E%Y;=?8I!(P^W(WX1v~eUK3x;vzq(n!}4jNvP%m-*@p`q z@2^}ibS%{)IF)jSb$_882FQD|Rulc$mf}T2O8&Yg+c32|A_{F@};}f2qW`8bRgPLbJzerHY zuo>=9eGvSAud4kYD{f!LLu2iChPNc}{|&hYgRlRX3xA?Z-n(Fb;)(zFqw)9fwkU4e zoE>xTzjLw$kpGO6i}4;C6g(AN-&$t;$nx?TP;}*`PNhp=h&Ozt0k?a&0P>7KoG;m*O(jn>a?2Fv)gDC5r9sBqK-FkeR3+SZ z$cpLS4rce@=k6dGa%{Ccsx)U1CTkatIWvD09lTe%(W?<9eQ4{UP3Q49*g-h`DxQZk zNsz$GV9wHa)>l|oY#sV$Dn_glENwuOFir|t3NL6v2fL|Lhcv4Sn_9D%6_+`4>Hf+o zO_?qGwQuFb!a+!Z=pv8;Rs?BMc#ay|n4#M1z`EoMRbad4-p@0wei7n5FWEx>)|69f z`EAKj!!EBqqfU?-7$JQv6u)i^LM+o7_EK>^5MJ!)l)E8)JvU+mcGP=!D!TaVsxO2}`MQ>@MKG?_sIqJNGG&kzf0yriC+|k+>w>gHDv_D}=ziSrMYFEDDDAcM z;XnWA0LT}%cdRYrLP+22=3j8>5Q@we2cQaTT?~yMF6LG*S~@ERhVWQ;746JfC&TY?$AuRJ2TMcJ*`P!?TUSO zJILpJwb;*RD3O@%CCeJ+7;@sxM6Nx>_n&oiEihdyJ&Y&lSCKJGC1x_XDU!|facBBw z{O4#;kt{Vctayc^--PsD!e4EwZ-YK)jK8#XPDRH*;M>6)w-+HFTuFciZ(kDbX+9TY zmNN|HSz`3JvCQo0XECNo>YfYL)ZnwJ#qT1jKwINDbN2l7=vyn)3G-8eDk;Z33LrmJ zj}$3aKQwas&YO&TP?NME41WNLgx0I35RYKg(!nkobRKDF6EZ}!`e6rqvTqy=puVH! zYNMFWnqu!+N_AO|$WXzUC1lXz?}ga`W>hBgFF=X~UxjjGg;tqF9X!>IwczcpGEv+S zHQ3$yVtiiB)~71NIB9T3Mn2sn-QrM)kV>8uns;@ftbj2^I)>o|F+$wHpDNC{QO9WX8g4?4Ldlo;V*%s$TAK^sm6ab(Rp1J@SxYS@7aY_Nh|uo z%o<04msUfT+2kC`DFLPD;Oh)8Duk@Gb}M$ru8Bb`3=N`xdr*+Ss{APG18nSm)J!yF zjwkI+w9>a9+&h6LG*YoVNK_mqCBPRO;Nqafwg-Z-4#H@<_H3yg$F&=;lehIUVd1il9-`h z7kl*9J`-}5fGPX9+v^7USSb3ua%+hq72-*w;r^|vd$ z-wfHJ%F2sg8=7B0F$rmH1}aJi!oDtrd943Ss*^AqP0O!gp+OVuKt>N$b>jd96&b(| zp2kW6=a->z?cb_ekR6_s&U6W31-8Rp-;iO(pzr`~HgPM}-=1xCb4dnFe$ zj$pw+RPsICUfgZ^)eNr7^k2w*+<=x8XEYsvTtp=seUA^4Ms50ILd%DI^OZZAkYO+U zeouE81h-ank696qI8q#D{pb24;K$W*iy+faj*Va$g7`L9m?Aom`n`LNBmvlWt5S2i zk`{z)J>D3`VKk0y^uH8zN_((x^LhgV&G;JYDMb;GaKM+DoMVNs0}z0!*1*E-A*>qv z41fdQ*1R@~2ct>nv`pOyM(}P+wd1X02X3{dTjyMfMOW8s^0Z}02FGfjIxo*zW~i=n zv-o^D3iQpc4krpE>bJ&8qWl6zy>6so1H^o^Oqi(Zc1}xb$hVK$K9Z;^RH8HwNkf`0 z{DOv@3j#6MeY=FjZ4fg`j*DfByqVL&7XZ<3z7A7uj*lrZ{D`@&CLzs|eh(jTMw;HF z9hupm`KBUN%nkbmD$6nAe1_65Zi=wMR4fG_I}Zao7)Jo^0hrRL9?LYCO#V4= zZfbc_>8*3l2Tv9@G2)EFQ~wz*j2#URs5xzj(7!Xm?u$aQ9VcO@Feh;!G`Myeb`0Z2 zoUSUURX9q&ky2KiwN1=J$-GUmL^O8Odmx{vy!@AiamdH`8FQu*UTc%gN%$>GThQ% zNgci8bX9MPb4Mgc?IW8TZ1z*m3{e%>V2IK<4OdgjyEExVIX`<|olNav+H#A^*KKeq9oSx&`OZXu5^NqquD$M1K(z!kBT~=> z;l$bt@~HlhC&cDAu03t*!7H%x5Yy2{Jf`Ht;rM6oze%a&a;x=*N1(ej^@qrWt#^zeuGDyWsaxlWE{M~6{WU1jKDU841+9#;h208plEUL zAe(IsQmokb8FRfAI=(qZLisOzZV!sS;!{_o`2_tc*0EK#Q8tj#o0Z|*T7lE8Py*i2 zgLxF;1eTfa?p=GvX9!uqajKAh`8oIy@vq*o?wy`HY?j@pX{KcICrYt4j_V%hQ~s0D z1=AngTOqWCbybGfP5OK~?WGjWD~SU`4^rY%OzasX3Mz(ej*<(JiA$+2Yzwo~+;I~1 zH&VIk{wa;zpZ@Hrx$OHDVFf}0{5CGdMKuL?AD04?19m}3YT=@vt^?h;s^)1`10%2) zNZplW9IV|+_yPjj&v$L!P2&#`ot_Qw{IZOdOctfghV8>o z`4jTJJJ-yhDW}1OLLQ@qq$g2#y2B7;wO*!2KS@TNcor$Mt{^a0G~w#p_)@c=Ubsc{ zrd1%%BlSm{X-Ct!U(P`7(e_N0X{BXK483*M6neT?x&95 znA)IPgCfCp`HRLr_&_EigE7NC%2W~CQg zYq<)OT2|6AZB$z(i zjUoSa~EVa)_vz^D9!oMbMsO8@s2+jr=E{g)1%i>LLOV8j&-Zrm0#R00`Z%oO+u zb1=vly!t0+gz_@#rE7MBySob*}piR7;_WRMKfq7%#D7|@rV=(r(3VyLI zUkJNVT~%e7J=P_=&!leNRwY32!_oLGOMUifVSD*R5GL}yISAR#QINB#c8}5}yX5nIuGEeSCX-})J2AU<4X1F_L@EaDa ztKddZ8jeKF5QiNZ)mbbJZ8C=+r$`_Rm-@h67T%* z`{M8nfr;5G3j#O6Ol_cpijRTm({H)U0_BYHE^y`D9$UWoxWbiX=fXsZt!k*8@^jf@ zy>EJ2ukK%2Sv`N7`?oasgakk(`sXju`fg-vHxBS9uT^Ephwtv49N-9paP=tbri}$j zl6UFX!kdO*<9>1%m^|Y3<@yByj%#(}W~};Q`vEb!eJ`{Y*K4bl3Qi+p0gI#*@^GSw zKuD3dluTi|O6$0Oe9hg|h29(|r;_DWwdb5MB_ZQK3E!#qnH&hGm!sOU7f)JzIxj#+ ztvAy%ZGiMZT8hU_AmI)T71s=(L4|+`+vQS!O}3qnppB;G(Nx2{JEDBzVq1xOTsYut z2~b1osvYR(C4#cAlJM3C9h1`fN7vvc5y#P6G4lcF z4=qBzME8;eI7c|s;2qlCSkBnt8w>URZHqV_1gHsuEvF`FsP!8@sp^|5E;cWnJ@zU0 zfzzRY;^OqQ4eQD!^HY2ihMlAa)%8Ctn;+zwZWKTJDxg7wCcvd%?PYOMz2ZbIq!D&o|@*$eKupzMz z{uOKpe&w_2O2t2?a9t{|Zlr1@AsX%lDDbQHm|o)EKC)>BZDnq%WHYzy1jrv5MeUbZ zWXV%HPj|?Vbc|@Gt9@8uTrcReK)3F`*$j$9iPx>-C{C+zw%rNv+scA3rk9rX*^`g3 zaNX4tI3V|6I;+nDggEwIB+zYy3G@cIH|B4y8I1{CHy%iE;v9lTf+#p+W-J)sFJ-U7 zDQ4t#EPWIa-NO;S0S*@{IRfAUZ_pa&Ncb0V#EUq>VA>h{D~%er!H4^qy^5fiy>n)D zf%o|LG&WEA=O&lH`38If(!cL=#Gaj{MLvw1)0lX-cjDB*ChyiO60bd54(ueJr&QORlP`p?Voa~y}qNhDLnuHAjfUN~SY*eT-Yrx`vHl9eLH-a|-TLPX0auGm+ z2j`H~-&_{<%xLg3Zs28N_QTO-HC-ei0c3VdfTx_8pl#J4iC8C8Wh3#cN@w%9sx)H zsObVr54``J`8|+Bj8okW{#60-K{a5!{{LM9bZLg3-pZ@0+abdR{5Yf^9J_Y&n zhSZ?-@4FZM`@S^J#nKG{5V%JA=Q7^hJE@6mS&$Es1?piTeqV=squBcYdM0}){Snwn zy4?SC61ZN+wmJUSKg9mmmXnA}tLa4j>lI6bjkje!{ik2baWQVq;6MR2l+tUJmDmn@ z1@Rh$KgY;;Xz{f02DF{0P!$Y0blb z6*LWv5Du$Te0=a_+g>gSSz;d|7igiGUpB_~H&2SlZs7@?~jXZfmROxaB>_{Wu?#Mzyl2 zoSett=ZzT<>XTm}a#_Z1^xC~l`xnpOys-$9eQzrmA#$^h6BiwQF4*m(>~H~?ncSWD z_oE^~a*SKT-Q=%7)@6aIUD1T~pR&G$a_NnHA6m~&ih5mm#rg9^ApCQ`!I=;I-Q&T=t^ zFM9lFE`QK``%7!kJ=d~N=`}m~rnAo;omGU~x_9NE*Z+R0+Fc>C9nbMK3lG(~pb16q z>1ytlM`dUeZRtLdA5t4{t zX&Zbxx4mkZp*_p&Cg861G`qDXqCKpFt(`G`mGPHB29VTe6rMG?F_l$>KgO9c32rhJ z%b0h3mQuH4BZrE_EoLGbP`%JE*d6A^NF(GasP?HPj3lbtO0#d)A#-FdXO-axOi@_bB$CSvLFfeeLSe<)Rm$} zy-`I&2(e*}we;p#Hj4VvVWZtiqAPKrCnWnuYm(xYcw2(L8cyTPugJh>Gtu_cO}6+B z)xMWB1KxX^rB@o=u2l^1E=o+eY`;wjw#{Z}RyW(6`g+SoN$Emj`XJAZT4~WU%MV}w z@on6#VqE129{_Aw=9trauQ6vCYE0uXlarOeAeaQx;$LYUjxh-j3JLWLrJhv(>0c5i z3+{c9=CBw3-!eae#w%~s4n8^XdAOedPQ6_xi7=3IwzD2a^K-F_f8M`fU)vPnsrT+vax|}cbvH*=`%;uUlQKJYc58y<% zNiyE^3aQ`SMa=ADe|kk<%?H70lLgJ^eDums+wd^Bew-d8_y93r63=JCB#;iSWz!T5 zTZ(WJs)oHkr>o9qtA`=xm^*l*dGwg*(RU+)=gDO1{VQ+XkN>$Y+|`099p`}hX+cwcc!egE#?-BUY2FmN+D`xBvt z2d-x7$3pgM@P@jz3f4KVJGa_@xfS_vGsW*s>p*C3Knr0-eksi9t3|1u!O0_ix+aHS z={MSoHDI&bRD^M03f;Ekt4ICV6C4U=(X?HdxqiO@I%cW;0z|24)_E`cEBep`j3@PE zxD0A$_0GG*&#u1iRl~m*S)UkU87w!|=%iJD86L1d-Ig3CIO`XwC;>gKrf1f>SEL@3 z(}|9Si~vPrhyS@E&mQx=A6fqXqML2eB{L?-7})@{fT;AhKyrfhx7bwQ;U`o_jbkDS zCZDaZRx2tBefN%s>`o0Zvvd=J{p(Zb-qZTSHJ_>4s$P@|e0JF*|9Xp~(#N34CkZx1u=F@LA;46BxDCd#j zaL%E#y)nTDAU&gp$p3cGuPrUA`j7i{3q`qBP!;Y6r5{V)%706EVLRL3^$~h3w6=)A z0Aih;kI+5}Sm#<5b=10Q?fGoWAQ_uqvj6{;)c;Mf;@z$pH%a>tY0ZBPGd_U14J!jV zz)`-SoN@XM7hOhPB<6!mVl#8U+bzkqgJ>E zAe|7I6U9~F59jKw9bOojPVWO?fv^9SA@!nhGHYs1W}zdkfDL!#iY1s1$vro|tsK z98#cVvn{cuAHR!&mq}ZReMZ#Y+wq~tE#XX6y#Ul6xk$nvbT6x}qAV%a=`Ju1=4_~9 zsZzEPwW3N}hS2%S9Ut8A-vTfoGVJTXlVbmv4^FXkWO>5rqMf2VCu+@$wl?Cv$L1-0 zNz^cH`$m1GT)ww)zfiGlquOP$lRVk+TIp=U!$v*=5*m?A5S)sT@O+SxH)+J?p|afiUe6j zE&`qvyr=pR7NKyLXB4yr6J$A0#@?Mki*!zR}`?L~YQcw18K^+3U1s>4vcs(j0221?jHl(JXe%1*p zRnV-`aMx4WjhsGx^h#UK+PKyp?=*qou}vjYmDeGM?f3(maC#FvnDYp@bElnnY}|&) zV)iPdf~O9~T?L}+I}MeCxdDHcV&?QaM(glI`K6%7@29?WCOc~mI(YkQAGzD&H-(et ze}J=)DaZL@ZQO94!gU4P@byNaA4@R$?kAl!r_?S$jy12f6AW#wY6i zi`q_KR0xtiby7x}XRc9QsaAjC=%)dHy9854%s_>r7$orVWB1yo>bSD7?zmf%?gF)+ z`gKK)NyaV;!k)u2MLiHrded-r30U+qoGV}XVu!;Q;6QPnzmKI$=DGz$Pj<=ws!1Wb zcRnbkrMUOd91OBu%vZkk_=cl0cL-XFM!XHM381iemdpZzC#%OU-jR zWOs`7$X6lv{!vk`;Aw1R=Ke{ctUvg+xh@i#_jzAHb{Wd{as=99J6zfCQBnH2OYV*u zU7>t+-D@QAduJ_|>>x|4rrkHpZkWrPDrsgUJ*w3!(+bKTkaIrMVHdVD+9AM}RhllG zN?jG^p2c^YTASd;$xS0`$=uU4+z6t<>n=7fe17D?9Gq29ClpH>l%E_u6;ZvCdhKa% zTEoMuSDHJM)b3CCXl$RU%tAg#TtUh4_Hh8F6KdRP=kMj6*(t(bLP&=|mjg83x9gSA z^ZWq3hv_GMMG;ZGY)gRt@JRN#@pjt?=6a%h zr!vd4K*ytpuK-FiN-7E!QF90W2#!Yz4;Q2w*7j>=AKrC?!Y2UBs^pymPYiAx4kR9A zg8!OR1i&&#t5ew34+UzL{uDrCG8QT(dmS3jqR zgAt!UQ6C$g2k~9MQS| zWo5{($Qr9+-x|8F^-!_0&-69jSpmhy8(j&f@Dly_3J2xF#tXvVofYR|Qd)~Z$Af#e=!xK{dIA30x)5%b zF9@7?XrXMhU^nnmL9D2Un`NKD{vg~qc`?_#y9%lFL|VN)a&Uy5t-~%-_p+r?XDfSi0modTjT5ISeV>Wn8DJ>1x&4Oa)6hMR)7O^3vbfA^i7X6C zSuTDI&Jhq{r7ui&qmmJ?M;_vY8OwYfj>*Qk5lk=vlN*_MRh{^H{2H^_Q+mCrg=?qq z7C|kbS(SR)J_q7PbPK-dZD39($jl;8#xh%ll^Vrg_KUOVhKX@biIkW56eA-_LhGly zE7SsIhXjq9KU}-voD#0@`Br)N##N&@$4?2K9W9tck?F?4Y9%u14n>-|k3-Gx8N9Rf z%GMuPRhcq-_bR+A<7@u@U!!7sK#MPCHc&j~$)wJ2y;-N>7@)yq4OjmwS@{|eve*|8 zee*ofvNVp`n2A2~eVWvahpF!%}>E*3Y6V!1OwDubcI zSHK?TSQO1hi`$Ly4pwpKCm;AxGbr*IzS^9`o-AYIQM&q0kGARYQ_$}41N#L$I{~6k z_yIyZe{^bMud`}Pxf(7kzn%hZr&Kw|ML3ja&YH<}ctC1iny{Qz3EV9j6%!Hoyf)$x z%s>5B_qG>npK^7z8M?V4H!SQP_qF{eAKblL<+G?+)Zn!U&4LvsQ{m}5nbey$nb0GO z^vAE@)PfQLiKVCCZP#7;&vRQ|6b-i34<9igz%~~st%B~A%**y6f`5%u4vEtbj*j0>_XR%>{Df0)`oVniH8B@dno?(l%vb z3GpxjI5Qg=P!gdwUq;TH`|7ALhyN=cw!38+iE_>C5vaUmMzM_iW%8rUVGBZyS6(rr zC=CH7T5VU7NDR|MD&4%|RjauD_@M E1G_OXzyJUM literal 0 HcmV?d00001 diff --git a/.pic/Other/Further readings/patterson1.jpg b/.pic/Other/Further readings/patterson1.jpg new file mode 100644 index 0000000000000000000000000000000000000000..937b188519873a7566fefd6ee33660be271bc198 GIT binary patch literal 60331 zcmbTdcRXCt*DpMJ@6m#cAdwIR5#6Ne5kaCvjoxeYF{4E9y+nzKAbKan=pu;dozXip zBn(sBli%~)=iWcwKi>D<<1=$+?7hxjdzHP`cdv6bdxZosYN)8IfQX1dAT{6xx>^Or zDf>Ctf716e<*$={@=Zc?tLWrpX-u;!>&3(Oq4_=ME6LD_&~%=L?lc^ zSG^!GK#Pp%KkZ+qe~pNkgp`b&f|81w2I$bp2qGpTAt5FuAtNINra}}1{2xThM8KOpRVctm7WbWBQWT6#uiR(8&(qR+)& zN=nPV*3~yOHZ`}je(Ub(?du=-G5B+QVsdJFW_E6Vb!~lPb8CBNcMpAX`seT2Ip*T> zA78+#{1;om`~M?fOaNcRq@*OI6#w`lBKG~qI1?$^O>uJO$2t^mJy`f8LMX32NiMAI zqT-j-MX_3Xj#0A-JXpPj{>R#XIQxIcSm^&R&i;q7|HIb;=qaFH|5qa>CL#XUNC63v zkdc!8lhHrnk&}^8kdu;LCDf|!$%x}uMBxJ7=Ny%ahFRXG z)}=33oLjaD^WSZRXf$n1cghPg1$mq<%;W06RY^L!NHtXua(~u6f8ajmn(K3b_|ZzM zb_Ei?R~nEs`%CC^@)K99N7IQ3hW;)sf~~u`=j9f4NEJ!M=I+XblrC|$7pJYIJJyg< zF@XwKesNUgAGLMkMrXm`SZ* zE`7Q^%XxX|7pK^O{?8p}Vh7OMgr5*kZjnRu>9Yz4;@cXOEN zNfb6-wJ)&V^*n96jKy-1PvO&G8%opDRQmk1_O~sEc&$!89>6b`_KPMC(w|jwt20O3 zUiaYo4I-kL;T)}YEOWV4|5=w=Ut&{}d?A-8oMPYfdv)?X2mAVR^BU@khhe!#_tg2D zxe`1KU;Xk3^cp(0{nHW%%4k?W7dv_I4naG|8m}~BLrcjTw)i-eCdsSy+e4KAcL}-a zMJ49Cj3wy4F_&KgcWW%SHq? z``(JP-=F`1+>*Zi{DzO$xhjlmv)06G?H&}|s;jv*ve_f+qA8SSfwzpKgLGfI4C;P+ zn9G?<(zGbV7Z!J?-)q$D3KZ|lwoHfGkQkyI!^!P)rIeoS5#P}G>b)+QyK%bQ+0ZYFia7nwNIdNyLHH?WO#^!j24@R zbU@G>h3Ex+~q-NRH_oinu>hUTA;N;L)H)ojKA??R04u;RnH z-u3`H9;bI-&a4DHRTIMNBovjOoV_HwD^xjpv#I`Fm2|Ic#!~;|zd!v7Qe~}qtL!q=E{+skJ(()^ z$+fZOcSB*vq3T)R_cV`fQ&!vS=5>KZNN~r%A2&lDMt>G30ew)z+{hEE#_`|a@xQ)i z%J5|2w@a-uPMf2u|7oz#HCK2P`jx>USpuI9;g?52;i1t$R6Rqzu6ZEWYI|dO;2mS! zosE^Ae0F1B_yOI`O`h;LBiVhNQg>Z33Lf!XblhIfy*W8dV$e1?g~3&Z=hXD`7jyCJ zzn?bXk7TTNE~nO+J1MT-VI+e@Y$_ibo`G-)@Ctp5o*= zq?32f42(C#xSoAtbJK}iCsAlNlk-t3;`7g}i!%|}fE-Z~y}ANXnyiQX*qz}vxaG$= zJ5>OGS9+{>7H;047EKq8|L$PDEGt?EW{xp2Dy5rwt1-;&VUN zW&J>Oo6VrqgdjPeLlf7MqBqLku6zv>&u-|Ce|@njfMhda$o2g@67Z`ms?t{NaJzoe z;6qdjQ$w7UXH6&P4~6Y&M`WXKYs#!jT&;2FM>Q7L@qk=#r&V>ppF7(!jYn9cpe=71 zIPnqS05=J$S)&fbgL1L&tmA?iJmI5`Vb+0mwR6mpxQ(byZ(@gK=bx999I5b-g_hA;_UB7(}jKMnWIVeW{!Lr}C~H zM~eo!lyC*Y@Sfy~md_3xDa*2-Yvsez_X_CJRT4|LA!rQz&nxdOPm7{BnD;J%K0PA2 zl#B%Itcci6^TxBT`glcGQk9M1w?{n8RNtSyYS2b-jBl;qwym+~B&_Pg0Kku#%HpAMf{Nc!2!%~OF0+&8K26^oo_ z=tYoWKCB+cF-7W_#hnx=?PFw2(wyU(l-wD8-L*c zx4aIfmzyL&BDg>@kB}?Tq!N1Z+IC&|AjNUTpLN^7UWDN@e{_-M5bcSiSA0Z!_x^f8 z*9S18=Bm>bCTkk%o^nRl_L#$_Y=8ybF_mM>`>d?@cQ4sKTo(Rhy|^d{^i(ivGkP;{c)V+)&AqY zr<&zsuD9+oRD)%&oHX|;33iIlRrrK(%@-?wlsdfqbe7gr9w;yO5lqBPHvVu7jy^Y7 zH8@Pa0+BJGr3T{ly3Fg(<2O(xkq=sP?s~U9h*B?K3VkYY`?ZC|bN5c@c9Od+wpG06W-Xin!+tX`sKBFZqjTHwNe4jwz$)(#DuTsBpg6 zn@~#Bc1+a)qIRwUuXwOIVa-*0KRNaSo%9o3=R5%Oq}VrOb3Zb^r&q>hkn3W9==68S zYaKHn(L3?EP;9AWvTp+J0-5h$6M5c+edO14_pN-d?toqErFHeCEa&$0cfoEzlMhGN z8CVScw0po{1=UK&nTN67v^pPdQKbv0wpK>mmUrh@$mry8TGOU;I}#Cu6V-p|UfaHkISG7nLz?Le zrEN05T^9%$N4o)ksl+if9m~Mb3Qp4bCC&!_p8j3CxBrdwl7(0(W$)9iKoK3`FUT!%VHso3sx*YMiI9Ku&bphZS{@Il(}(wkFFQS%L}@FP~3U*Z{7eKo#ou=8SO zy!H#)Afz#nroUqh=i&wb@MG1`E<{8?KPd}34QYQ8W-rt_xT9=G_`12|j>0Av{X)Y} z|Khh7>tXZeO*XB@{s^Z$6`B?i%N!@2GNWuORpFDb_yQ-nNZmauUhCY6Lxl6_!U&&1 z6TTAa#uJx0V&!%-rBhjw-gxM(y|jvwN%P|thL=hdlYb9sUdF!2HNvD~y#|}(^VKa4 zD?dM@Hj^Mf<2c&xNB26q)($T^xcDVVSC4^U42=4W(dR~K@>thIok*!qZE zLc-T022n;>f;4R<-pDI`j5YLYHf(5#QE6zYZ>w*KQ8t*LvvNtl*&?L6ZBDs>Q=N8o zsqC+$&B?no(^AY^zsB5M_q3+}ev1$X|2og(3hWB=eokJ~hqtA_M5_)-iWZ$;IgoJ2 z1%tZ(lqOPco;RR=V>2(PwzHZlsjH9ec1*y{+J~bL^!2o{j((v(FSq8MvhG#6R@}6G zMiF`ivZAc(piJPK^BN#UUt4LmJN$(OHQjg5cM}-`&(9};8rx`ne!#@ zBPL_Q(691vi`lf_Wz33ZE3Nz3Wm84^-I3Pw7QuTRS@!>S%Md%im5WQl>0vh96|vCB zoA0E(VAXfMax__B$j!y0BBh(8*hHX;xg}K^{11# zv)Vz6@u%$}&5*a$?rKY6$>yY5>vX3fUd2pQITxYAs|fh{3;3L1!J9-w^cO_wQYUPz z0!*i>Akp_l@kg=+}R1iz6`ml^`*0HBpC}G*BSvtcUwa{^EQmalO z)2sWN^Ppo?mnH?4p8ACTz>1;~O135v_zW=Uca_OV`Okrmje9Lw#LL9dIEhF!<>gJPkFS-X$>c6G164i*BSZ%F4rcemX5Um|! z?oi;a396yF^VwSPRSH3(rK0)_5_9iLn$thHat*U(b?Ds)M>$ThGSpA%C&2ma=iH3*1;wn|19CW8_i>#iK zsV4UbyeO&o-eioiI{H1@JhO7K{40-?Ne(o`1=P~4)L?LF!_RSO0JJ8 z_Kz1B2-KW!*A%_FVDk8e_NT}Zw-*Q}MRjkQ?+?YDKix|GwU}XKpJ&9$d7ebv#Nq%w|6fm zm#>?1?MPoX<$ZZDt?l(z!NZYV&WcJQ>$*CTsvm8zKpbQ$G;+Go-N%@xrskjGl&^7{ z_tR z&g{-H=y#)eqy7aSv;C@R+Sz7m{wc4IeRKbGjml5zI-wL~s6Q)wwR!-Id4=G4R~;V! z39lsFx|NnPJgp>oe^C)vm2c=D|1GMgw4c*}Bwuz!u3aQ7&So&;eMN&wnTf|Q4|>h> zO29)Fj8`8}VSNn=lQ5Pm(0jK&ZL1Qf`&i|`JU_EgRiz^l*eO`N=kC6Ml{^DU9Lrz9 zMCjX9F=7V^OhNzrRy6WE>2s0glQSJ!F=70a?5{Id;`L@Jj5d}9dLO-g7>4HWTVZNg z3BCf=MRuE$8kw7zn)_u;bk$K27To*hr)O+_au#2l|6CEm^@OU^T!wjJIWQAl=mn=` zMoc7XR0Z8G{`{U8VwK$Ax>mHqFopA0d(utbU~^X$SR>A(KUXnr)r<47c5<6E&%sI# z#BEU0#02Hh;9oiUSDOZ^hGOq^(#%AO#Wjer#NK5V{@lhQ&SKQW@ufV5gnJYjca^^3@$OS0LFc1s8519Y25R<(;q0 zrN@WNrs2tTa@K3#^;Y}2)F!EZK%60$LUJdA*IWbzL(IxhNGsJz3cL~+&&mT^SiPma zcIQmth`+f$_7rk8hPBh3_ja+cDrho+?@U)@tC?J%o>awYH5T*JowX$Z*?FboV7BD1 zz?q8)-RfiEJ8hNP`}gh{7qbn4j`T-0s)mD8OzRgXrsfQ|3^=w$V(gN+Kg(y*GjJJ$ zv#MirG>myf$)Y?Ob2rvDss5Ixy0CC8&G(jFhn@Rq##u0+ zs&nD?)w4sZ6o=x0t9Z@+f!7o5ns(r{ocvUw0fidw0wI0g@=y7}e*}5<_e2B_+!ybi zlh_Mb1wT@Z=IL|meVo;>Y^@e^UFzjeb%9)Q!tVa4%&xJ`TopfwzEsVGN6KCpdq_!C zWPSAwYna(?0ZJ7nucbnoJ zX(c#qU)ehFJFthEVmdp2upX_on~bhkPg{GahMr6#-Y5Q06eLi>v3Hi$uWPQ4#oRC{ zDc2gURY{9}a*%d%fNz4*&D`bf6lRpE#BJ}SbE*?%Pl_KGY{_zanTy4u%cHECgv@7t ztl%|%UV*lMLat8`D4npk4DVUVd-5YScbw|avl zucIi=1WLf+u$iZ{u^SbRx~vpl@X<%w;B@0>>O2{?%OC&zQ7rGSTrXh3=b9vcMMA3y z&?2-Uyo>q@WS!IsIG)k<5;InB8OT>_!h^d1`aPRETfqT6xX?nFiUntB;2Vsrx?X-P zcyleapdd-kvK5>F)w=>cP9bzWYT*}#pKO9-#()5X1|V5%vEFaaebeGThhwrhB;jX0 z7{^$Brt-WFv%X#=yJxH$b_I&6o@ZFjAJNQSAX1kCqj~&F2%%fjo!JJs3)QuHfDkpr z+72QeN07;vh0J?!6S(eL0GzWEew=kpgSl|N(NVzfwO$y1Z|tk2*MnRV@y3$wxC3p3|M+4Ux8TcfdFCU+A+pM`XIJBkpt@MQ2z^W z%dCX(L^wnIQ>3i}#yJHj>~!|03;nkHgkQ&IOCS|$uRue^=inGmco!AGVstVaoD=Gm zMjwkW2a%j4l$yf3$bf)#KfO0!46{zf!{PWFNYgju@EwNRg3%8Xi)FGYXcr;yK3L()POBEn2CFiYZ-Q*AKn(aIbt6aX0WpfF3B zC43_jKaX+OU_3xbNJ@`S9BKn! zheETlnbVf*D|o}NnFXlFVsn&gW?)WefCS0;!ka{QrP=4;euS6Cu&k~zs#rpUoxY{C zaJ`zfHE^~SMy4GiF|;yU-GUR$Bv49W`JIxM8pv|zN(xq~Z zD<(lb)5o`-XV^yYR)W*@v+>`DDFT8Rs{_qmbNFDp$`Som!;}D8i zsv-I?c=Sk++taUFVv4szLcrq@nsLL8?Ac2TJ9mL2Qfr=)xD!R;!Qw1UCTabm_Wbj} z{9%VX$|}@@?-a-hD^@(!yzvwCf%Vq7{kEgOI|1I+3R9MikwboJX*ER4w=PJm(v`Mn z5K;&8@r&2r?^B%|E?!hkWc%c8))hw<%IW;7m@?jlcU4 zx`=l{s-B^+*}yB%#GU=YAsbGglc4)%Y-45O>Y@%yI&%vlevY3S@%*%-%F#`S){e9=+S&+QmUGkSeV-KWzdf zXi5r@ZwzeMvv)i`u+Ne=08sK_6*%q8={nl*Vug($ko4pbt}P|`X2iOQJm6QWK?!&=o^O(_)|%Emny=hCG^4k6)28E@kO*O z1&X+I1VC9t@#%cYuP~AQdmMiN*A#%<{!_jEb^ZA_wG?6CpeXa%LcgM@cIhuFxtYez#J-=8VnXc^s(5diqeg$;IbH%H;@ z2e{#ViXH@3+)8#Q_Gd{#It$NFHKxSU5t}OzBm1pDuOPtah%oV4qOnK7IJi0^yh{gS z{bO3fdxhI$02kXV=sS@hYz^;v4Y48Oq^rhYEnZSrM{e`haI@qS@MLg_&rO~_O!-;m zcSB|75#i6;L4$Iq|ESUxuIayZnhP5EOY<3Ub4I{=E_ko_uQ5c$Annd!9N+kl?{W%B z&b9Cm8h540|nPELE;yRCe;d zO15or?1?82R<=NpE#R8VKH{b_w5L6*hJZOL(v*aKO;vaVe&dU3=i_G3sey%Xk0hh& z+XPt0)S%wZXiq(+6@e1N5X0_lWdJOl@w)*6Y{G}Hz0PYah#k-1lveM90j`{X zJ!26-QrU6D>@nFnQ^n`-E=UdFFUb)2u&fp>gLRnUMi{cZabPN{lT{Xw6q3-b6JJ>l zwfF5;QQ-873-SmvA83tJTb*2ugnh(2KKlCUDPQ4$c4o{F;g(*+w^KlI%u!TkBDTVx zu!#pmaAXU-CN&rC1a;g`ykMz%p^X%>2I#I5x}QIH`UQbV+abQXcd(o z*U|;z=o?8V*tl5XSU5T^e@j`xB zR;^taU40Qrpz+624xOr2L(O6E*e>58+zczu-Pk1S94xY-c;C2s&B=^&c)AkXkhrCg zGZl2r&vxGHKa-|3ySEio^q&=Jg(I6GHF2(*n6R}Y`4Hs7F9@S-X#s&I3`!Lt}iq&HB8gYK@*%oaofrrRfj(cOn_bWc0&_gl<5;5sYocp6!pb&Vcl$r2W&^6dP zmMfJ&szpF->yHLseBHeqcumF0B1bVL$r3^20zBQ zOS&_0#NnUu58^|+=D*GK`_G1iZb|U(y#f3jWbv zEvYXckV>z0*!|Ny0}o*I7(TS{9;A^VtsXKBAvH_Ba2e5opiD<+`Q32gO0q4GZUveE z%F9q;%zDO?Ve6!uN-eujh99nOT>G5unyCHmQ9j)R(RJh@W*% zb!RkB_6OV7uO6@N5`jcz`Qy+Fm2g@04OK2RT}I=ulbA^Hq|f)>%B~ZCSHqAb zE4zpx2t;Y^s>9HZ^-Do8>XrGN&r2Oq!mWc*PSl?d2$Jbf5R~5NT(n79!J6zDp(_bI zIdj@TYek)J<|$8|{5ku}t@k#5ND&Ao*#9=+eB}xR8YS?F$`2huKK(!-zd-8Ax?U$7 zuL()J&vOB1wn1%JX&8VWF(`@n2^ygxp{@$bUY}LV2W=0%-fqgITZBZ-56JXXOX1$n z77ZVAbZ5x^l7qd*(*fR9tO$%6&A-h~we?fM*oR6lB(d&|Rb!Bn`f9#Wrs;2ObICBS zfvlgqD*6*Yt|kbcO7|qMK;4U&9ILpx>gc~6@S|H^Bl_=0@QJP=Y9s>0f%@BhYWm<< zI5Tc!)4VrlPG);kE`4<0q<8N{Hc219Q2E24i}DYqv>zAlF1C+#F4)Wmk$Kf;M@Ppg z_gK$76&(~gk?3#TeU?p>7RPE}4ppqo1Cs zzaO6z6mXPNOIwR6z+ON5p)R;w(QDo56&Lt&y|TAZdeU>gOdvYSYgR91jxYHs$m>|= zuIGyAZm2ulEG@qa(M=aD@l9U*-4-HmRd{th6j#J0O zWL3UweLminA-+F|lhS{6@3k7GvRQ)D>x6svX(?p?o_(z+ppNKLABUAowMvy`;esu6 z(^~v(9?_3YUQWJL`1&MMZ*=KMSoiLlNR9X@)pkOI$+%3`-)B@}a|vt=lC@)FM#uS8 zzNol7r`Jf-=WX)GGY;HO4+Ma|8$Kg$h})qy*aKzRaHD zJZGIZFl~dqaU>2)lGIBSA2fV&G{6;qH-2F7_R4+l0SyCo31OL~*;mnxfmX{ooqX1R zf7vIf#kweZ8EHKK)z>`#s#$P8V=lt*s|)cb(TCsGGFI+!eDOK|#GqqZ8CzO@KZ(|g zn||)Axvh;T4b!gPlY>a6Z8s@f-Pxym!Um!hS*>+B$FWB$JROY+E}jEQdb~e&0;U{_ zt!pn&`9lUiq#m-%ly91EN9Ifi5{(kS;P+GQDnVjhK5 zIbHm%Z*52>9yU!p54=u@0GvmrrUIgVCc3!UfU!BYn))>H!n@({1^$Pjk;vTDBq0!X zJ4CQ|CHkkeylQk~-GJK?iB2o-qDtcqVof8eqV1RZ=yV%6+R~mN1bwB_1cpROeJ`3J z+&18zVGS5%O>Zxy)F1rVCGTh_aiQbXk0+HNAseMB&=Q)(^W!|_sX+3espRi_R70qlN z;d092cJl;vzsN%&*dDeBsSz6(on;tMB1N$eyhGiYf@*zUb_!6)2Tuu1ij*`p<=+ux z;P0YR*EzcR(;`mhW+E^AIUJ>8DyfQ(f+Ld=;46@80o#sl<53e3ulB0O!d?^>J4_!s ze7X0$RbDV*T2@6eRh-v)tqG3&X2$dz;)uZ97VKhJ5bR2BJjlP+GNxd&-MPowAfiv! zfRJbU_8SUP^S))^@+cI7(p%H_hcH@h{QRFnew*5T5O^z6{G z)C6*EN5cDt70ko^GnA8M=4JE2zFPpf#?!UICCP*j@mVsX&;Wx~_MQdb*Z~B+Rhs|v zj=N)NW$W}(TyE2dh60Q%SDz9DRdR5Qy|0M`U-vuj`W8Qv=xX)tL(k>ZR<=~{(`Vn_ za;Lme=lVwR%H{pKyZ#>8%ZXatHem{gW>m!%63-x*ph}!2CLNg=X~vJy`m*^q$gW%I zmWz73Jh_BxBbS2<(yy|sQ&Po-D(M#!iQF#XhdBx;kxB$N9d}heT|4iBFyU@YRv!G- z{7#R3J|#7Gulmo@6I$?XzxK#k0hI*96&b$`6|gXg`NTGkiD6B(7c$R9pjukX<6qy7 z{#y|JMya6MX_>BJsu7E5T3p_xvhT2Fmln%t!78L^6Qw*Ex4b7WS#F?B zk8UF>Mgj>`5R?~CoD`b914q4dYN~gu-z^VWuyfqQy?z8C0rmXV|b8abXB!Mg+S%O&YSe5vHYnswbjFtcw+H>aw-m41teS_f->S! z)k3r5nCs7~CjSI37%!;fl)6MMlhhKr_wK8`?YamfR`DlCgvHCR&GbV+_Da0J+d0vR z>tZ1dFEb}-v?%(oXJor3bPcK1EWC&k5KKY33efwJ#|LCh$LT>l0hI}JfH+&8JcB!m z&6(*XChK*7`}G)DvHF5>gd*UIKfzI&h*$(l%Z(VSguVjx!=n*2ggXv|+mli}4}UUH z?MgR!y%};S(T3m5JoJ)rM=!`#ExtY5=G}ysf-!dxi3DCuAc3;A&~N`SdL47U z=SQ|R{cg!@ZSUhMpj=KPaFCEix1-b!!fhj;! z-+34R!uSqUeNFRFU*6&R_$v`+Q%&Bux|Gi!Ny9?bqNIQFnL>DUeqc-KQEuoHn16TN00@#cNLAgcI# ze{u2t$*ATFaZGt4d6`yoogiwcR! z%@$yXW%Xa;liRIQ5}wxT4+EtSfGm=ffT;xxj1*v*1uzUTFisxhU4^lF9NBAdPPjF3 zRCQQok+K)4Lwnanz-`N|$wwfUOt?$r1Y>Z>ilXU-)NKzG#DVK)61_+1F_FDyG*c`Z zliph5W?WnY58X}uYbq+tWV%gC`JQN`!PI)di*U?C{nc%J^%cmmG(*)dvOABv>R7LQ zk5hI(pJLsd1GWMt`@1r4K%h~@>cD=%xat#4rrXWY#>!)Ywas1v=$%vhOPNVgYr|hV zRtXb_@morLbenOTlTxO$JH_t;2Mz!yPL6|3AsR}$+$f-q9{^mr+bwvygLh(e>VYKF0SlzlK@jQy|Dxcmu;>R|(E?vV+M9PP1Nv zl8$pzVE;N&zBg`!|9ZmHtLa=$+xGFD4qrXvtoM&!9@yw%Dc#$2EiUty#@kbY7l!jD^AC`>a>wm8LH z^(hyhoS`F>Z5LPW%W#tmvf}UKNHE1{d7#WK3|iG8ya!=w_YOjH;I7U5WkU;GHjIA7 zS3wj2Y{^-0n7L{!TC&B$Vg@a?empOI77SEPEtpe5l`-q+#5HhaQU1zH!stx9mM`4l zEGx=>)85uId@R_;l71rezLTt`F2h)LGyn0SO?ne;}uV2AP2cEqjN7zGVHTxm;VhQc#m3pgv!^;d< z?v;S`?(ebKfiGc zT|PXol^;BD6I}EZz;MMIvyzs83f@Y5lh?p_HU|B`kM;oL5 z#hIAs2j7Gsng>{#3wZ+dF;-3b-xf@E2b1`9=S^BXQjFR!QU&9-8qJ?27j+;dqkDuV zS5l|@Gov1N;#{O)izpt&$k_Fo$)FMsl#yK3NEK zW>=cYKFqZ)b-r;?@>cSq8D9!PMw>Sy4(b6sy4RdTK?ezsRFcIw6m`$YO^v>XD&HK^vlvPB6YfwEaOAj9!te2S-Y-@ObBhl&R63No%3jt zV97QGgL5EhCr3dYF$3rYAl4cx#Cf6xV_*V)fjwq`9^`XRw?Fp1^><_|XWph^hAm}D z!{~@@IWRWLS6;I8hH-|qmHAJ^0Si{v2nV38p|Lm-d_lu^DNzFWDcZm&Wsl2_N!4LB zkIUd&Zu(226XG|37LpYIq>p$X8|Mm35W{grW6hT<^>H7k|RpsuL>im|7nBVi)=j@_L zddyRff9M8r)+V2acRhB7yj^#3Cfu4Nu$$l>H>a!LW{Wtxqg*bw#8sG_r>eKv%)yEy z2li+UB_dQ@lQ(=h>e{>$q)Y5ujQ{&z-z081$ z-D*kNR(Ks^U(T_8t+PVzdh(FOA$c13k;r_7PD?-4kLq1P0+o%p`P^tPZ+s+yd4Cyl zI6sZZc~9^2HP;I99j<1M(ll-`pPT7*;yvZ|YdRGqEV&3jUc8zj1i<(fqhX@Zm(c~u zYjj=?f)hHetV4?%4s0-=YedW6w4>b1afX=kn~e2h(NfI|`6^Oe$pe2YXvXOJ^Qg5? zxoL9=4cQ~NyshXR(w@9zq-eaIzB=MN02IvOSXTA;Fx7858h-!z!o>M-Woc2>$yFmk zjd@W;n-@eSNE}^>WQ103HU-Y?kN!Ku3et{WLFs6RU+=HBA=?gvlGp{VYi=bctTcVL zo$i+?3Z>07V1_P|5a%)zai=DHLC+HMAjm>^9m9Z@DuF5yRdU9KkLkDpiG}qpbN`l- z4-C?n(6)b|C8h84a)!2a=w6K~4KKt;Wk;6n3S_Y|C%FzQ1Ps0vEqx$~b-*dyV47Oc zLW4spMYQnWPnIw~8$X>n9*A~6P=DaT*vm7PBGUy)N1zPEFv6pUnpkAwhm3FSDfStcX?6RlMT?`{@%&-nbduS;FfbaOoA1#E+|2<{-B!X>0Z^tG z=7d!wOu`wV!s{*!y-MtpuI=(tiIKeH9RuY??Yb8><0=xIZ&OUa22gTuOn&(ZNq3|3 z3+&Bj$Fu^)1eAVV?bYl%`7#p^Tx>Mbeib@Y^tibD1jH_*q$1TJBIe#`5L;g=1Dr&7g|0{(y+TnM0f_o zEVbtK?OiYZU@^;MI6oG+H{hVAjKoM>22CYAjkwfAkuGEdcsG z>J&|dSwmUd5o|^Fy>W?)6y7<6ocOdl8M+=PBnSg2ZPgt60d9~9b|mt;_^im}l*ejoGTlr$@=I%8#|q`M&8ObFAq4k3k7 zV+=m2mSYC`d|zVpdM;fx`fbu_9l0E6Z^qp6|7;_laYx|okL}F-74LzcsXPOmZ$p>6 z5fuy=X@j#Hp`Cth0E~@EcCvFS!DpQInA4B^+Auuq0?&UNa_v5_egCEx$*oE{E}Y)d zIWEyw1D=3~6L_31*}KFTptmPG1Ta7yn`y!OHPi+{G})5fBOS6hdi43h4BBo@taBy$$ssOiRm3rfOSdK2_NHpdozHf^z~!xb3b^m+~LZ>+s}5Lu|-dwH_cbSSGWTGsdVKC=4^Ee7F+i| zrI}y&^l|AS!y*FZeg!%R`;1vxoe2gk1446i!aG(=Si4~i=j-p#m~q}yxA|wuutnF% zr8u{Lq*aHvJ$ZJO{6P)RD2_|HN&7vdVX}xO&rR)k0)JyY=b(dQ7Qi7$69El+feXa^ zE$?iCH`w(;t~us(q|T4sX__8?DiBQ6P?6v<$PLDE$!5Xm2@~j_ z&+Wf$B}oJlwxH{~<^amw1yF3P#)+)V_jIq+GlWaYRN+`ql}ck37cV%p*V^{oe^orS zd)TC_lEu4OJ<8jwEGiPEto@i;O!|m(XJPr8{XWuQE+C4sIBIyZ3TiHbHX)Tj~8Loj8njyDhrA8 z7`*~jA>tP>HW@v=vA3Mim%m2!5EwxdK<@Xd`5#_32t{-jh8-S!^D4D zag>ufDxj^~>EK#$6R43BemFM-szBzoDthGL9{_W!10Jp71d8UwW)p!xi~>et=%@D@ zz0_2{`rZ0*h2y$b$l=H6=LHDy!BfxsZ7^3=;32WBW?&#Wyz6DrgzK{v28bQ}kX`nf zd316b@4F#<6w^TMq5c<}gRwsl92bS#?E)Z-AA6(KTju3qhYEbslw)K0{N#3VK*gM; zF=>@&Z~hB)St)EhkPvhM(12BSctNvt9NZetg}Z|`59#1nUUL$oG{q2HL_2qz=kq4n z#*GD1`);-wZb-X7-qHwx4vVRn5N-j(8v}y2qOmT7*>5+#l-($Qi<;1$s2Vf({ETw? zmMc*T=_Tp!WW4W@+(nL%f*>n1HUS8}pc$tX8d#Y>1Gp3_+cxd{SGqsEF#6 ztHD-$4Tz&ktNw^=)gDX4$OxX9Vv;X{zVpJxd5=biur) zXlg0cH>~H=A#4<3Q;_hE|MXK_dDdp2=Y6#m?sZwx8PtZD%ExaipPEjMjZLEL!bakX z(p)M??h>Wfs5}OR-gkWBS>K9pgpGJQJ`6bvg??30 z6gOWOJ@{wG$a#H1dtVIInsySS?2=a>oWXMdd@1)dO+WfRgR783}`p%D@eV$N&e44||yWCqADm1)>21mUio%pk}{6bp8_y8>yRQk~X1%N1|c>D*c z(fQ`HANYI%iG8^@SykbhybDln8&&lV&#sjsztEQ8(REUJ7{Xm{T120YzXpi>7rY_p zdw(8Qf-o>o{)v4gV|`65x-vza51+B}@SC%w_$G~s=B=OH(Eh$l%P-oWm~g2(((ELC zFosm!NmnVYrvzq2KI67IwUt2my{1vc;m;QADJm&;uA7VJQiQ}6l(NgvDs%hQ8ls>I#@{o;dCdWm1k73fi7&!t;<Q>n%H7i!5!j5q{&mIuN1g`vY{ zi@PCNDTalDfTuLm^%Dv2oyojwWB+x0)I%7Q^z383Jj-t~($oRa%sCDA_ER9(CPe^h zLAnR$$<)^3Uspa3cO%?X{5~$M0adS1I+XkQXi@Dg^Sp7DAKxt=dLh^TyhOq8_&C7Q z3?L|jC9boR*ww_4LnW34%pQ&D?z+5(JAol#@IawCxUVJUcFIma=ZnK4SyFHwpru=* z!4w*3!~swewsY2V@NFc&2q|K^-ZYr8M&^W9QWqewG7glf5bcfgvRvC9M?<|oqvn4nmInkK3=Om#ebiY!&I zXkx4XF*8TQiZ#x$sZ(9tK=BkgI7z05r(8 z24{pR1t7fzF26(*0qhmX!DQxUY4`8lj6%)rk{5D+M&6k-S+=+V1<-74_kF-j`&kq~ z|LD9?scfHk;Plgd{KgBQzTge#@KS=AUzQN31XIi9^|Qo~f<}WwX{?ZA&T=dE0nP(B z5XKuDgbHiv9;?((Y^A;o<>XmQc&`&!dWF6Ob+01w`@oVy}&VK@hV|yDDwrpb5V;YXA`yW2Nxwz<&@Yz6Kj%`4A zeI?55A*Kufe4whB(@lDfXBzR zQO9eLL>MDxzYB3qi32n68pY77&9I!O`DD66_jc>J=&&|>9%K3qiG|zg?t4F<=7yPl z$G#*CtTZqj*sK@O&GI5Adj&9$x~*_%YY>mYH_#swy$t@1!5#OjTm0z~DMD za3*iaQ5$2#e=!8&KoWbIxuK8G;Fw~pIPMiXvI`!6{Y7{oROR=r2s+Cd?a7Ik%}xF{ z)hAqjlF`$8_OHAHXAu*vA)YA_!@HOu$YO8jSXk8eU) z=J8GRuJFKUpSmH9%zwJE_}LkldZ{#I)ljka{T!gpI2Z_*=q^=TKzO`%OCoSUCD5b& z=26R37*nJOACKNZ{>{m?u|I)#?TkIV14VtFnLWSfKM%8&;V5C7Ao+Fcd){>gwXbO8 z?MZ5WkOi4eCx|HIw`E8FZ#c3L+{_k&lAAem`3(TF(QoVyF5EsA&Puhqg#ku};>2+)+JO+t;sJ>QDZwdT@btg3Ute zSrb}|2_xnW2E7cSaxcmRBpp5Mn{u4j2x@5++JXxrc3qD~;={dC+k81tZj63yeP;L3 z2LFqr>yD@D|Nn%LajnR_WhB{qyOpejO33OGnIW>`c5leugvz+d%IexXH#@tKajko= zmXLeJb?T1K?|grMb06n@-s5#%&*$s;dcIR=fm=|4fHG-vWc^#a+FvdOTl-!8y@jT- z`IaA;oL{DXVB&qs#00&E9N#3_?&GMGArdOhD1vH8Q%D{y6aE}=|FgDYeJQwAZujYs z*B*zaC)umWlxa9?yw0oq%?e=$sNny)(929zqLcBMQ4|jjuqK{=u3UC(mvms*{GfHT zqkMRM$0q3t98f?| zBIm40BG(EE1AZU}PNDGCHxTJ0F3X&vNrMT!&n@O=$qNkIuhb_pZ!^g(&4~;R-KIOfOyMBfp5=jgziUO zx5DWLWAat+wfD4l&mZwie#`hY9-?J8F)mo^WWRe^hKzZO4X|5L+$!2FAhTEkaPt1Z zLjXGJ1Qut$v^TgEyeGb|=rwNC!w zqkOij$M0*dqt!%HYN+71k9uQQ9xAkXabNLuB8gL~>E-`1`9dUsEuzH-SO!B0(BH!F zU0TE~)>q+$O`wQz{+>YV&J$3K#2ED7`d3eZS)9qFmb z?mr)Rd~-9fXK(jm)l73(lf{YYiyv}_CCpeA4x}^Af|E(91hWV~)N<>X3v>vJlOHS- zC1KLrZ7%FM^7$KljcKr7`McrxACoq}PR9ZBm2Sya_x;`X;Dj&G+hGrOcr1p|Obm!h zJ>JzHw*XWOi}l6mq#6(QuXrYH=Q+zq&+>e`^0Ve5Jgg;Ny<_Z(g*r)|LZD-?K2Yvo z3;}ux_{mjG!Lrh{Yd28-0m3de9i^c>Uw#zkrpI>{&KEq)t@E_JWf1=meie&J7jcAnHyog!)!1GganaQ?@{ z{holvB@oTisRoi#K`acuE~U2Cn*W%5Ceb=eQ?aJu{FB|X)?LARXT6}ZJY$necnM~B zODZ^>(qOVumf{MMHu*BV%u+gY5XM47;3jYmPCI>aj;nVvdu7rhYmuYOpM%_=cdbsr z=kL{*vKRBSY9ueP{P}^8+LNbVVN7nQEc895>LWbJb_3bs?%R7+ZohD5jnDr~`piha z9{DQxrox~?pzh4`yP+dK2sf~iPtslc!0h$X?{@6dzE9zaq&WW`(*VSac ztG71v%CBZvpFhoS?wmS)&#nvk5#405=R}Q+4jJiJp%GZE| z>^s(}jW~62t);EFyioTeE6=h~Jo~abYJeSuf16nFm0o%nZuB)<+#fbM)iCD=87nL# z>hHbtEPY+ZmGZ7UbUf|Oy0?Yf;r(z6_SOs9NB_%WR7dNo3>DjGsbrN8YzPxB)g z7tms=stf9I{tpLui07`NBdRJjH0y+KcBj#XxAdet_k5{>j5$=Zd>Vp>0K-{-C@ed% zNVBQA`>RLkMgRquwvNM13fXrDMtUUP{m$RsWV`$HVdxPi9*YMH4y0SC6eKUHXjqj4 z!Tvb{#S!?*y#rJ2juzQ&qSxWP|H$M|L~Rs5PiTKCQ?jrU_t)Z)kZxp=qwcjloSoo+ z*7a^@FAq}%sb>O^#r_U3lL%+vuuz6LYOo5}qS_;F05zmW`Ia6#NWcyM+~tPFjLZN+ zP@{Cd`k7B}Rm^V-a561LP%Tgc>e!Hw6^lV#7=OPWa>hiCG&@MW)3$h zdWq0cwdT>+Om&7#OlK(dw={kAcWxRzs8Jkz^}>9rLTjr_q)}qBRW*^l5p@klx)vA+ zA}F86NVr}+0**qz?QDn6VT;9Y)JmiY{a%O9ZPEnpjc-ys7A0}o@+1GUMgL=}*7?!| z{cq`i{JfPaO?fu01(9W!(%$q$h{qi7K@TkH5pdmBLvG)fmuJF50(OwHHY_waP}$7H zPGPhqOD+dEiN5nWurP4*KQlhVTxHBZrXQ|fpWf-$n1 zOq&*wfv$tl!GVs968GUbTcY{Zi#ts5FoD5A$pyIsHcfiwO|Ls+G&vEyF?u|vo~2nC z(ucx->-L_!>aznX-gV(MWW54`5yBlI2tdmU~I25Hv0-YFOlELW(1`;t+ zbus>ZFr1YZGLL|iM+e$`xficr-AcA?*1D;^Bb(;%)%iEhN2NyYPEP3EV`?bE0UYBs zgf_jppFIHDdAESn0nMi1++32Mwa*Fx!QT(&&04dL#PqBBID&%W-BQ+FZgJdSGa<w`xk{(4PBQe&Ack@ zjMSws5lqHH6>g-}%Qd#LO(eh{p$5WH_^SVy+L8zNaiOUD`zxzpQ96ym?Pnq~*Psm( z2TTvL`qye0eKS!sJe}9bkU=q$WQLZ2Q_;lC5Q_NE`=oMf_P@V({;CTTW=4Ufqkr|JY0baW^sZP53;!bam9!fq;FoO zk&8i=>C5u&EMm!}E@z$bD%i<|fBU0cMad0m^e@xwNE#c)DJ?}J&t?bS+xR!GPKh_Q`4#2iWkJJjpYcsS|K=JJ0`1jl-#$bKF$S?nB^l6riK)HBjiae6w*RgWny zrY|Ayr-o(%ZJ&WdH_3em*OcwcoC%XZ?(Vy?Q$RDZQJ#3J$Mr%IkMM2HfbIO_0=apW z)2pS)_D`XG3PmR|wRA<)fNcMXRmLQgWEZkxO(;s0Y5&xJHu}xP&PdO6Nei<~z`x@0 zw{DlZzfD=6H+WdP%6gHa(F+>@&D?AW+4UmL6YX~YW7--wkpSlYj2K8|np8-kLn23KtaYPO zsMqlhg`(!bKeb~(n&^Kmt+oEzdW z4QaV}xd%jlTc4`$^FDM_<{eD4^Q;{J6L(g*ad3z)Y0M6KtJTp( zCO@bK)s_M#V7i%*F*HsML+IW=2WOlvE1W>#L^^%2_AoyOGw_c=eTqS>vZ6>9z(h97 zs9TBb@>J-+4rIqcCN3eP6Ezf0(+DlCAe0s7g6;vGZcF>KLy$AbnN41BQ>Q~)$I@W| z`&=%-4kfA`P$bv^*R<+OC67{m?}^Q@aV&<$|H&NDySZ8;wAG~d6NT=m#`qddJP4K< z&YV;b{eihg{lVb84b~AL5PEtsI4$VaqJQFsO)xj7Lo3oBiU#;nlHerYG?7Bsk&btx z@DOeUGf-7wo~eAC7;c@-8-SC@+qaHgIb3;~Mwwoh4Ess$&P<9@M)EU0K)De%Bsk;L z7b*Fv-H7gQ*Z*pkPj=Pb&kn(5ebJgU?*|U|CL6lnlUai2{Yjg#0 zupby-#KvfX=@f0ihSW=O&YL7er5){=tFlD991M;suqSGz8|t6$>h4G!$n-gA9Jc)3 zP_OiB3Uh4t1>U9#3Q9{U*v_Th3IaN-U-(mm>T1@(hA-g!cFj+uQ($>G$tS4ub3(`i z+m#f799LHEr7a7Z#O|@rEJew3D!uA7*(l=#0_(r(CxV76jgM9P8Q|O1QTSv~b{~SX zCZhM$-y$cmZ5AV{0*HH``pgJadeo3he@C-6CB1g#@k#5;p#tWzNkoMWhs-$d*vFRl z8u(5ASHx*riJoO}{!jXJbpff1oOJ0y$Soq^gsm-Osb9E7@x-RyUS;3? zk#jlB($=-E-;_APZWrl=&RX!7n)LivBUVle5qEy8X{PXIKkhX_t7X5UE-`qYyXwLG zG0SVr#aO_}jEVsFk2?zg2Fi&I!mdK`HA9;? z^Z%HJpj-&pR^Tk}&wmEMBkPwB!om9Aj=Z`OCip%~dwA@TrtZu`b0(-IY5=2atvUi~ z(AK(Dp^1GLEw{@179P_fCSQPL@U4u`fMY8{ZcOnyF@!UJFp9Nse$U^b%uu9&h=O-7 zN%oYf&yAj3H3MY9p~a?Zs}(T-Iep@{zWgbs@$Xm_kfVeF%23*A$Plazw#P$IWoOJg zF(gT4sbs+s1L6FdF+5$xthKpyF?cU%3nI(*f}?Tk@5@xL{IZ9zTUh*}M&)79*Kl!wa}`;IGCoQ48bBOlGku&vW$qtGNQ#}U{!kCgx7Nw%~*u@e=gYcR3? zQT3H}qGf6S-1&{q8KNx5CyV)My~z2^4tbE}^aao#Ol0UaNclB{9T}S3@b0it%)c$& zmF0fWBiUQmuqGA};W$l`1`89)+n5(uTI#Fhr)lM4**XVl0e@x4QaC&*44A{iP`i(?MCG5wC zM&Db}KGU{-{*PUfjo-A)HAlnp_r()_2^zHCB>jt_^h5-x2Be;)IfJVJ2Vs&Ry~{)n zhqwSpgu^*DI+}G;l2U4GErP3Yuy*J^OdOVy5$kx$F(&RSigaav6}|=E+XsRpVNw>`phoskzt0SK*MYM_~1@wGYjY z4T^;?{}{Y7_e9(o7=KGM=t%QBNPT1a&V5A7oB4~@q+!;3qNvf=|Cnxoe7^lGAP1!h z98-eHkUhvlaP zu*O*Y8YaP@W)!lTP2Ajr5PuXon4BchH(KTXT)CxeI*g;QZ3KO=&lMld*{~E+$90ax zc$7LkWelsp{2+;N9+W>i3D*2vu7Zq8F=DR=iY+^@!WERXY8x8+z%EnQrrS~cR@?9& zU}noE3!E0&9icu(E?`e8?aH)%dQEnpP0{jznnV`YK|9CJ+8^Jx34P4LlD)=fa1UD! z!z4VubO_egSWD)m ziz%L8zQyKcfEZd&>eDJ@8$RWA(*K?e`-0QqU+`a^$LquHzrST+)S2Ec2!2Sb9k>2D z=G(o7{`ZLyZS?pec4R+ob<6V{S*q)#@yV)3(;n;YR#Lg#O>A;cjVO(9@pWksL9}Yv zzV1wo7#@Hg3G_6amh#Euw@>6=rrBJ~`uX+M+&9JagU{v%3EzF&k?G)mmkLg%8EsKC zses9aZW^`)Q~&3lkJ5ik8(T1!Bh*urL|+tD6A=nHQ!gR(I!WKgvS@O(R(1Y2cZBEM zoUIgb)4`LW++pT(7A@aR+w}g%m(boc8E*HYyJ4iT!_RBTWi*rWJLSS(Q#9r7wCLi3 zuFNAX?gj(3)$YeLx)L4&kR2zQpy?Px1Y9*RQ5ZOH28U#zJAH>iI}cl6HITG#OFp0d1~mwK z&@VQBzPUqZG{jIuM(3@R`-&Sqi^C?neWucG z~rvHvVF+tG^iXX*rJ;W8@l5izjc-L9UUCGcK7VP zbN-_5f={TgHMe;Vs$YP;*nEnW_)af};oCPk8AfB?ixeq+dU?f?(uWzD`DW$!85suU zvDSt2okr-8MCbi`@W7QTHd{d@%h#qNKAvuJ!8#c>4o zz(0OR;ATE38qXxB3Y*CV;!z1`p*|;)?w0NlER(_YL~Lu+Bikh#L2H3x>#NU)&%bXW z^A?A4+u{XkWz0R1`c`gHnC<$(yMll+)eKBFL%}VZ=>K&+u!*MK-~37C5B2$61iJa| z^<8G_Hbvkm1#;ncAnh+U7`pmnD`fAOEKUG5nW)TvsG%U;yQG=S$L0PNLk71g!h0d1 zk4_I~UbHcqnhWW?uI9n?aZDdmjs%;!Jy8$r63{I{*}D;VNeey?2G*JWWr19OqY8Gdsm`Pvgq{;NX(F*ii!p!IkPxj| zzUAn-Ai4V98GO*)7epjyC!LqbZH{0H(lYtMftHcrr|Nd{C`Y&YT=k? zC~rGlJuzh0ii{ot4U4!3@XkgKRX?LPBwO1S5B~-q{@nfT$o9}9?aqsq#)iwhG}Y;W zSui1Km)}z)LnvKfEiD@dAwKC$cz`^&!{wubZEC3OXt^A8$_!c{)G{%7Fk02Jm!Cg& z?SwiERFnXE@2j9B+u3JGyMIGlo4k;*U4bRM6OGSf97+>f#Tz;C^q_Y41^C!Q=_UFY zD7_Vi2cbfH(OxI%5h$PT*Vsm}JfMkI@94yQ6^IVJ)Z6ktusHhFh2u%>wU1sGzZP(C zo|T9jL3C0tGUm~Opll_xpO0SNFNgyS_Q!B?@7LSk>?{i0b=HdFdr|3pF6&MSt>$d< z84rj|+6i^vy=0n%n=3=SnDADyLkIA!uHIVJEke-)=m;PY4^2UdVDTHUL=!f^6%QAV z-WkIc4XCm;CM8yV$lKU7Fbmj|@SCa&d;Q}(^a6hAK$YqR?m%$9f+1-*-4RTt@Ft|~ zKc>?wemQ<>7KCXXS3|p2Gugb8e&1d>X#~G)S#5r*#`dJ06v*IOqJ|=8VeBS?2v#7r z965Dd#!re$ZZJ}>vaSd2GKha0l|A`}mjuR8VtvI9Q3iFtjqpC%(k0=||3J2gRg9hp z3kZqBikhe+f`A)`fAc_&7$&-BMrWA4>2qF+UXQq4I3 zN0$5ErqjrWG)`cJxVchK{4tcB1?O!lfD(Ds%kWR)A||laqehPRb|pY zH0;-F0q0AidhCZX46a)0MF2|~r|0+cA)M%CTA6TSH3mrPP2xf-G$D^A?Mmy;B`IHhc<((WuLl|cO z-AfCHbQ3uL&nPkPSBu!kUq{ZgC4Cd{ag7$(K@_ukuS?f!DklDQV({_;WWs(Xsxxyj zoq*=|7h`0T{tQJjI7=?eh$J}XnMS=mD;_BXKV(&DK!!&=(2Dp(0~x`DG*}2KUF!6L zkpdwIg74h@tNm5L)h&P_9{=a|mwTBxnUo^kUg?e;!Q%`Kk_MCfH=5{@gZIsc=X<)! zoV^Pl`@Z~MNVEt$uu^(wJucI$*_Y*9y7DsB=X~kQixx-BAb99oUK=7~C^LP!%ng+! z^s_C?j*KMNb<0Bew}j_jbo3*5Dwk?2(K(G9o$5&DE ziF@}p-M|c!phBWAR>?-)z*z)BS8!atp%O?p)3BznDJ$-ZH0l&;08A-Kw{Aa4tw4^9 zsAuR7(QlxK80TrIvggI@;t!CfG;ew-)^BzNdxw-+w8u^oC)y2&9+ex``oS z_G&TXlqU7s{}L{3)x@6JJ^nzF&)o3<1y@>~V%ED25q@tt&%4@lAJvL$oC_?v~$>|icHhS_=CWL|_`F_yT%2d3RH9ur>y1VH;W3oy8 zMG#_JRW5nL^Hf9JJ@JK{6F$!cucBWUVo703dleDfe7~SU8)f^mC2=V zTwPOmALA@B1c&drIA^|-`jgauOWXUq;09*9Pj5io+l<>d3$T;oXYs+!q{*8Lm!vzS2c9DMMbSten%meMIORbf>at+@f{1{D}`HjrNVx2>dy}v z=yGnJyWI9{O>6VFT`$ckS2b2;zWc7ex;pl4DEL{POe!o%i&YwP|AzjkrMG0Q_Csx@ zcS*>IY?*3{0LCj6K9AwWn8bC?UW_B|UFD8>?07^Qb$!#8`zPTQqZAB;`GR%G$$vfF zmo`a70nmTvakZeV>N6vR76e)>yJAIr%6yza_njIz*H~zsd#J;=@lVoxhR_#ji{v$a z*hqFdD>sHF`){9qM6Zpw14b7Yo0dfP#AR-JK%K0ot**<<6YTfHGTTX)^lHOVkiZS4 z#WvWf=$M)PJLJ>!1szAnPw%|D$}Bi?M`5WeFCCn^^=jGH>L0wky;-vK4jlSuehqRS z+FDjaRJODGtYrvEkrKyt_4edgaB~XRhN%3Nz{>{Q5DvTKz}BJ`m{!@?-17;q4Sxm| z(AwmHBd%ac7O6@`Jb|Dov0P}qajWvHKz?C?6|MIsbHx%GytnIF;??hVl7=YK^cEBS zXdM_7!ApN>qCj&aajm)GEE@dg{0BFzQ_fV9-gfmSS>%C3KGns_Uy_i$5CU9)?LkS< zF;vUaD0Jly-m#wG0L0=kIALZ2rK8s>qz_I>S2*Hvg`d0h=UgGhm zhMDzdj-!ipu`M-w+SLf@F8XVX$qB@@biBmfSi3E=qzxO5m2=NWl=608teFXg)n7g6 zQxrR`A5;+$0OV7y&};gX%cB*Cr4#`O*=`62*2=h)6-~>i*AGM-`g-mQcaZlzyb6Q1 zo8=BBy}gVB53xkMy=DS59^ERkOCYGS0qg^t{D{zZfb|$df-KyD8yT5;1x*Su@|5U| z@tE`S3_f;R{E6ZIBk-}g*}=mS=7{hHa`AS&h&%L3hWZY?4KrvbiBJQU$n*G`G^FU| zn&?jfPKwq32K2;@innXqE2~vQzJX^tA|C`R#!lW^53eGVhO+AT14dAALkBa%vYVcqQBpnt6axxsfl~-u-uII#F81 z$aG}$42`9lv4SGqR!zT2a{)E>cuWecRX4TIf*{@%(cjG)W*8G9c+Vw{b8wf?lB>PQC~} zqug3wFT$m%vk=zn+<#*=#h%WJ9Nlb}{{W9O;Rk2f*RNwklLYoNkx_Bla28 zMphl3Zgk(Y6VCS}Dqw;SEe}iRdAQ$f9eK{)1KkmYdKB)_4?hH8x_!P>QN|)z8;A%= zT7>aoeX!{pCl?U#=$??oy(2DH6!2Cx!{=t;2{WyXqVwwC9}E7ywLAG>u-nb7*E2hrzE*jo>I$(90&V?`m zrOIf$>S(DgDs{6>br6!Gvv~#<7^F%}ex`aDB0NwpSClNkHE!GcwqqYCy83z2znYLm z_%_a)Njsp zWBuCY1&r`_;!l^}KEI%XxJ-N2@2WV>zl&e`g=jZERRT5lWAP9n+!`Qr517$!?9fe& znjy)rCh&RkXoZ>U>EGA;_`S!*6%QMoW#2A}DN64bjm8fBd(yjoV^(gu{IcLL89Gyh zejxhqy^10{bAB4V9;hH!P(0y0NH7;_FX)KFL$m*hjKUJ2JV!hhgt1H`Gs+WtdooWJ zCe-)sWt3EDk3&zUOh>OGZ(Q;;0@7--QTha+$_^QcYsNFo6AF z!r8PiccA=eMA*RnW6S*3mAh8ACbk=Ea^5P7#&JanJql;nLGt)Ro3MLm;`_icP>__o z+fMcxF*!$S`0NT4&McR2Bv6W-G`3q$o^>ZT7UtFfAng;s_*pjH`u!i|2*`^KUVVcf zxR7-+^x-P+y_-uTMrNc*uzQ^$@e3}9FvUX!exiAIkG5&LsCRc)+H@kt`j|5)nynrq zkNC1|$X#qYYDV#j$2(g>Wy18Dc6b(!eGrQCB^XzC5>Z)b2*}7!hGL>WheKrshc36a z`E7)D=&Q7)zc;zE=$c*`x@y8=`IOFFMT?=QVgq?pw~_~8DR5x~b0v+Fd^`r@qh0F6 zcK2n83P0iA))nqN=PeV||E5svS->!}#tesS2XCG2Ags(rkfKd*=(|jGw;t$$?vlx- zx5+_enVv+&Qgx*~!x!Pb9l1dmZ!7l`m(`OzGKPcIn^-Oe&h|x-M7Defcd|w0hgB2r z>XNLZWA^Qum#}S(tTN`^@5h!2UFTAqx@LcW_=p^6#8zZecJYqJnW9MND{4PZYj}0j zRsjfJWRIEb7{axSJld{;yX1Ds8x~hs$>5mPc zTRf7_zT3yCvPKtEyoxD2KrdB*#sk#gr=3Rnb>JDV?1tQ3hs$K#rGntLU^bRFhxZqB zGvs(JR@yl$G}j{jti$JKXEdChyg3Tvj*c3Ex9=xx0V@P7AFwsF*~AJx)ehy`?w5H* zga|}$djKN37mzS z-EA%N?c+*#;h4A_XkAzq(9vX6r$J+7Y@yK>U}k@Lm{`U|W-EcstA@QCQN`-hxB z7)vqFj3uhyZ}7Jk@6Y#}=!|+x{zz_9)5=YKNUcyQe$IYt6O+dSbNZip5TD&|ls$xH zk1&=QeC0bR2^-!}>jIjMJ30yeugMO5tu9uCn`b+7rEg)BrzZQg3LOnu&z6F)nC%3q z2wY!3G|v7*5tf6-Ucs1_Izy@%69=@cXC(u4gUBony$hb^QD45EbiMliPNkpngP}T^ z;OwanocRx;U_zdND*2ur*RL|YYyu_&Md0ip_1)Deb`-|``~<`}`E7l%{ta5!$VaaW zyLq&fscAa2VdKD9uWiTU4E(SHe2H|sftH{DuqpYslUUUx<*ygqTfht`7k0Ge-H|E% zU}Ar*H%Y_d5Kf9ECWFF6`N6x=B+Rou9+IXVsWSz2ZP#w?6Q3!a8lB1bePr^_t; z#%y)>w2PPscIKH21_VXL8m(FM~5oTBp zcu&e7)n%LlO5dzsZi!uoUg^NL<-s9t&SUu@p*87-FK#VJpA=AV@O|uzz1-%Hc!EcR zs)=}Tac6-*)wrIeU1zf5Rt@cZZEPU<3Rl%q(D%9DBLzo`g}3R?UCc?YFQu+>ev=M5 zTfJ_;qmw<@3yDKZv}f}GkdYqtf!UP1=fT2qTAx`c0m|jRd?$5&IOeO|FfQUv{eED| zR9MJby%=kl8*5nqxBDQ0K%>8xCr4uN;_&6At;VrTrQNe%s^+I+{6dD*TZhx!1sVhgGR3J~mII*^LwB8h-@>og}aY6!!!quWxq;b-ue zgrKNJ7vF**^?pZi6=M!Rohazd=83mKK4OfCiGWjzB<13?HC zT25ff(pWl+%Yd0feUhy!L6oOA8h%E0Y=4{ygE+YKGUB~b$cMv{0} z)l;++Kq^_>>Whi&X23Wo-;$iJ-02sz&X>(`-~JbC-A^Hg^w;a<=(2FTE$z)DP@Ng$ zYRFG$Zj`?@21?U~iP5-wofLz41?vf0yDlS z=A?L82?sTE3VA-P_$HOGiYwMqpOolM6WLHd>j8OT40-!+uFL3Nft^IctID1Nt_Z~p z3!ymVt!1T4)b*CoweadY{uJS6xSQ+p%4OprMYq0(((U6cOdl`({V4IsiPkjqo!V~1 zhbjD7UxJ>gws-o}spaA7hr3&B1a8iMcZ)r|Llx;|>oUB+2d_5n4!v2I^)xQTYaNpB z)E^{%bbtTJlYjHW=e%(-^O9-J4p7~I7ZcwDrn6%GxUM^%v3yiC0omYRe{aWf(0IYU z5WJ`Ic23^#Q+<<~gNB!t5v&i~?!9xVpqE@*8DK!K1-aFZglmo#*9`tKiquhiKlw5k z(dB^reD2KpdG?uMCXMyl`ul-ZPs+r(tPL&s@^Xd*-jo|(d#VPJuL?YSYRdWY;Z~Jt z=%fDorz+o`8L>{Pe7lCo3z5FFSMFN!`;QLy?@`SXUp->FhgW#cnAk)mAwJ`st*uE- zqkBrNzy)TjGg1qScFL9yA(8=ux6;-cLj!BpYl>n$Ywn(pdR8d(F!L9)gu`PQs_E+@ zuQz=5ipP+*$H(;=jJ=hIH4>pV`OHxlX6H)aVft?l&A6uJ?cT@hsXhp&b_rb8sBBVv znjNX-Ys|UY^kxoh|=r&z;$p!{eMrpIf`;; z^B>vJ!tsk&GHQ)2jZ=;7V#=Sq`Po^^0{@A#A;(`l4D-Dk_TIh5SV8~n!`QrE!X0Aq)2H_mGrv0Vr~JseQ?M8zd3u2TA;`>E-RSD* zNHR3^YuwA*I4f{rQJa&4g-LpgD{lU@$Ml~(Aqno=f)gidgTwqEu`6mouYO8;jjR21 zm>O{_NvB7r`7hWins8p%BO~5e@tXE6^2vA&5oYF_?Vf2ivBlEf?nWf)ZYFO%5S}aHI>HUj%YfCsCR_uJ4HQ&uNP)Oh!(aM=mp@5ROHU?w~ zahE-0yODf(uDqwKKfz+ov%l+O_sbwK7Rpyb3@$%V{99t?aWyt&6F!fj30&MCrx$9cd~}o&(*a1z%^Z|23@YTin83;w#08Itk9X7gIG+6(y-DMf|rg%)`+ycgHe{Q5|!k%O7u z*@fL7PydBu$ZwVBEP61lmiF zn%gEv=d>FQ?LW&m9f0m#;wDR?uddvakGvsJsixc~t(6^LL1G^c%nYTe-X|>)6Mv+$ z-h=PnL8!j9uG8{9A#q3PJigY^iCbpCoR@d*%#kDdBtyGlr+gf2`AM?l|7*SjHi{ZA zGS(LV4xo2Y_y5o`X#J71O1hJvv(MoZ%(yQoiyeq%D6P3gA3Km3=s>DTK=h(k*SEaD zz-XFk^>1t^Q@!TsX?MYM#ZyeD2QVK&8D#XAa0o7$60p?I%2)?`2=|!FXNf50pPglj zWVe6)$#t79<3ujDuK5ka)bwdD*|>Gr8&N0^PWX@CDV^<_?b=8*s}up#mZ|=B{SccX?+l$wz>hfXE7vX(aa;k4n+G ztM!=GiaF-&_sU-`_f$Ro?)s&|)tryk~~H~})eFA<&1;L@e~VTa)vSfQZs zAdoE-d(igY(Z+n|M)6=wjE(0X|E8Ckd?^=OMub0DejFH$fik|4I+Sbt0+#U(ifApuf3@AMmuY>4hYILWIUL-osRS6haa`nu+D7J1H+=essO5Wame z=9aEnV<3MsL=jG(Rka#n!|;;~UBLI&f~;TLq>a;n*!#>yjCA}|;SIY7dG2~Fa_4wI zc**2CzB7@aU2FfcXQcUrRJ3J+-cro(nZMFYx?3Mo_ltvBuCYO`(-rIzEE&yUV%HnM zEMnvD8jw2EQ207n6KI4(?|}KEa75fHaie;P4>-R1Me8eRxO&V%T#08bTcU0`{5;c% z#y-#10*pExtdj-Uh^Z$06B&Xg!Q037Sg2+QUlIt{#G-%0QeI`D>!Ks~2O=MBA9pAc z_QWWJk*~Q*`E8jRz2^OQmnoSkUBBG?|M<)NiFF4eK)yq##(_LLh|VZu`Ybx3QbB~X z2fQ*a+w0A^_x)hw!qn6I9VgeHj-9d3coH~()FUm#JlRY$k)+v@Y^o0S=c~vfiD2>% z-p~5Ay>)#^V1{YHkjulPgg5XX6d2l46{Ue#Gky>?poN5h4jM%nMo&oZb_QWweWsy= zMqkHQJJvm4k`giN=^bsk89v{BD+Nhbj~=UEkj+vg-Ufb<^S6$7|EHR)Yy-$->oK^% z9zxr*0$l5%Moa)E1|d((Czjs&>@~k~pnS8UE$tJ|tmC|8no!Vh7b5yrscZ*K@wbu8 zmbfdYcHllU;(Ett)_eAKR)iocE#fn8y?!;Wpkx`I?;d$fvN?+9Crz;ngE;?~;HrA} zR)HKB*YQwIyg;Z#z|=!#d6uV~MlA4lIOzs7MNA0FZ32mAVyr;L+MdMh;N?Mwm6Ll2 zeroh`4%gM1@)mT!Nbvfy_pDqrTY{XTu?R3LJ zH%04YZgu;s*jP`V2^{WpjI%V-LKiLt(4;tBukRc;F+s|`A`;-N3 zb#W$M*+5Hg{t~`RVVn|Kim%=`EqL@$Pj3|0F8^X4MtOI)7mBB><(x25sRwT*=E*2; zxio5dnrcnep*aDqVB8)+vXXU&AfTTkGZ|!2S0NVd9cZ&2ek;`LZHjPYOvWR9w zbQWJo&HPLEk8T#@KeV!5%uL#o?)V$@Y-DOYk$3I<5|uLjyaz#EPu3guS;@IB`xUuc zeem!^o|)0|-QT_Z+dX}oJ|HZrkj$7-dMantb+P87`15z(tA7yK*fcsoZ$$DVY+AuB z0y+!~XuCrvsG$!WQdf&h9Z=2NR+gts zXfX~5q#8uq4MIuo%CTV71%=x*e{#Y1?Aq$yH+H07|L7bs(yQUzY*6BqE zIUOkSXw`3n14zfX;kwg)OR5eTWYRh?^p%RvM4T|5*21RG>XiWhT=c3Ng=Fq z$|VRr^L_@6@&)a1p4@-C?etk^JSZfnvZJdrct=U2uJ3`~L{F0BhqpM57p$JG?wAo} zD{WLN(r|H}DD|Ekz7a47HW+Z)*4E5=#u6QHaUsD$zVuyh$> zn(KDW+DfSQpYqEdUFRMy`&)Y@ZZ6OCTkAq3uO{xrZNV9TYL*VAx*4Z={c|J7N@#{p z0rU4F{+p zTiBh*!(+_$F@sYYq^Vj)-+a}`C$3-Jf*ww6B*=Gz4%z{H!=Bfqp0@aM9&SOCpv3v4##8m z4({vg`Bxmxz1EQXJYBCQANM1kn!yXtp2+xmum15xp%RHDh0oy%xfAj426KE_YZaUtJOY>80c+J=~Qtwa4=BL%%;B6=ydis%w5rTW_sb{opv_c-zn7)%EQLR7f`N z?ii(@kKTClJ?Y0SU>%lRJUF)zASIag<2u?p@J^!`XZXBE#qZ9}RMEbH?zjhtRg2Q- zV@etsJ)E5a?oT;u{OnU0uaOl&p^aL4YUzU%Tk=qho4xbK<;Nki6!lqYH_LpNp+B0C z94!wvFLXVGCQw1iIjI(bNu0>&zNM`XAu!%`9D)itS@1B5%6@DDOM=|zSZ)6zKbm^}V?$n!pE;Ud0`!#_y<1ppOCtgE= zsLIW;dZQ4O;C7})O@TtHTAAmv5R9mk5=~(waCbWQw%NJ}g zHWPe4w>x>2 zEyb&q_njQ!3M_A*R3@%cUV-6U5F7CKuN^-^{1zvhZH>+y-v9L!&jifU^kpbr9ur13 zBz14t#fo2lYLUkHDpm0=XVjE8C}{`SVKh_PO5m)m`uc|vDMxC5+~9Nk1}t&TR+(% zj~BO|AR4co@4MA+b4HdqLC>bo7_8lS4G%Vwb3U-x)oP-x_-yg@dsDzOiJ)qeAwI;_ zcaF|hW8&37xb<94{M&lm&Z_-Cm6@3Lnb#4{Eht5wHP$!f{MsGxthC|a^cCDwz6DX1 zCwe#ohwODi%8TY;pHukJo;JW;Mb%kltsbe-G2htmn{m2NUjz+Bbk+jPr0RwLyKV(Q z60T;u_`k?JB8v>w55hGJG6YdAvg;Ovt8Z6h7?-Sh)g}ZLPI@(21HVI?>Z-^p^DOAL z26Kkl=|owG^%8l!cbN_4r7dY$rM|}_8qCsSjVtaXQ4>5U(W4PI?6~?K?Y$O-y3sXS z*mO`#t?2IhYn?>ulxgXj(KemDdWPRGVj=$kr70$wudX1kBMDPmr_Kk3W-aPPmiW8)_>dSra~vlgRr;ks&eJ z;A@!%QD&mfeFQK`ETkzoH^?my*5Af4O*AY(MUtpqyPVq6zqg;zU3eu~__uuh<#LbX zz0(hwJ+q)sy-jknd5X#&PjKdYfAA>4Azvd`MesO%Pxw|0HNori%Hb_(uSTOupsj3qCam52UOj308<@!8 ze>z!XBI$wiaOoX_YGWKG5!Ix$axjO|TW_Pt2a2LNR#(*Dp`<}uo`BrVUNw2VVZwng zA34G1$gD-NYO9TNJn~z?)~*rLU{xhOe_hmB&?oh1nvuEl1RtJ&8~BQF^fKpEi@=(7 zP-o`&f^cOK5M%2%-zD-jPELk>QQWK1|8AEBQM4ozY@5=3o_-REJ#^kObXjf+@<*T<9ok> zr@Y<>R0sZ>)(V%2R(!}V1dmRfx_SVdM4|En$E%Fm5B13@QUtwPI#puUds5@~NH{>g zbtA$R7hn&Gri(>jLaF?p;~S<;9Kk(#2y)<1B9%*!(B+&>kh_cwXic3PX#bWyuAj4hnCwVcigh%pX;Z+8xC0 zZ3Rlu3#QpBKI-;<o zaOjCr=Jl<^bJNDu;orL7Z#*gB`?7C8*WSMeU}7rh=C`oXY4l$4j0gNLVEH>I<#EbO zffT?OARy~wT3xA`ioJRhR4F7d<>Tg=hdO9+}Na`6{d zqR>w!?Ld@2?b|{lv31lmen|3(6N-4v9=#7{e9gDL6;o5XeUcNs2tGDRMb1NWzdkZP3$%#}a;;kEl1MHsvIKpx$|VyZr*TeQZhbQF*WvmNSAqD_=((@j z6%}wPn^szAq}?Zo14qA5$<#A12xCTqUFI8~i1?dJ2&3!^2c`Ck7$MR1hDL~sKzt<) zMY}4zzruUUtREK_%|dR(^7x@oQU-`&C$SNk0)_xvOl^V#2EiDh*EK`pY{5GXxj};c ze-7N8Xc4&~!nBljK5u{Pa4&W9b5&}sH+E?7@HSyl-;?0G;NHukTN&F@r-b4K4)8M= zWq=R%1PC?x0m=N8D;RmBWZBlz#l!!t+h=g5EZwF$16Aul#I=s$;0z2ZHkS!M^@|P0 zg_@|1cGS*%^wU{K@~?Mew?~Rj4?REAmMywB1`e@hh6)g>f9{~FwPK|<@UANf<`(#f zy=;HQ`JvwxtQ60zKX3gD2`6`;VNZ8Me z|CEDFSFenlvC8B_~T;)B)LN(&G^G$QEy6bEuND(CMV4R zv3rS58EX&y{zg?aIyUin-Nt2`n=xmG&nwf*IjQ;r^Qud!fp&V6x9C0_P~y2E(&R5Gsx zo>9YY^Jiknr*0gAJ_fk%jzY6e4Kvn56nAMC>g|)>!>4qTfKLcMaKX6@(dP1S2 z)PGvhY?3~&d}GLP;f45jesZg0Y{RJTAs_1wE0^iLJ&wHgT1gPZ#5olE9oB`Vk&%JCfO{h~s`r+jbIc3j4l!hVrlcHi}1x5&D+U zc(;FPt<@?Oz&*Ur09U}p4*zl`*@$0#UUhok7Dif!3lMBo;CrT0#L*-1K=r_pXZlh1 zzCE~s^(4CZUu)+!AJdT?G;+ky_%RPS0~@=||H!6`WSMrw6@7&T7!BnWJQYpss5ttH z_LX`9@^hl=;u+Emm)v#Ga2*s*SMtO1;HkruTfxExGWKB5-jrRK`Yq|H`H_Lp-DCrw zWZN2BoFSF`GlJxr7eoSRZv@8?Uog(Q3W25J%{KsO;(L&bfFa&;ZD8O)2Ht79#@weY z4g}&tn!4Y`j0-s2eRsy~W5N5tix15g7x_?wk2N5kr}zfx$yu=Lry$l&B`fzA>sR7M zrIiWyEngO(>-c-sO|sXS>V@kL@}J#u;D2z_e)!OB9#-3>STaHW&7^^n`Se-9p{7gj zD~HLAV(yBu_RO%qbrGJi^gOV%yX1cPd1uA+h?4Jag^j!`S^Pe>)d-wk+#kp)JTCgo z`*C@hrHto-MsRYVH#jOp8QGKYm49=`7P*3Z&S54+4Yd+}6o#7r@E@5c#$mTCH;6kl zd>-`xp8}d=dA4i63nAU55bP_J#G$t7}xH6{ON1IM$Knsa>jrg+&~Kwe;Hmp zPci*Pnw{VABg`T8=<;>0ERE6PQg?yer%Ll>?RJs))KS&yb8~g-p82>c?9gF{eJ`Y2 z30ol(xH(Y{r<(e4;BZmDbN@I?Ji>Z*;qPB#cO_FwP|#X)>m#Eu)qnh2)(cZ-4`*AG zipv9^wH~AG9ksa+mdSb)V5<1}COxW_5}Hc!>vW55=Uy{~0kglPQPV8-su}t-c}L}| zm#RIm*EA7Tim9I|G_T|P4s6Pt%US@yn8cXQ-3*#L&Vsq?j&ES$JmQ;{v`=X(sW=1# zd0H^pp~Fy(OKZ{siG#pau${@@q<3&fH)Rf8q$MUlG&G>0yvfk>eSaS2uoxN=aJbBZ z{JQ3#LC@8n{k5ehhh3%C>0L$fjz=O%KxY*hsV0k`iQeB2Ii8wC@82d0B@KKgdtDs%8O(L-VQOS*!0PCku#hv$Oj zMnAj7g?SH(9Llj4Y^XU-yboI_)A3O&UJHJS&mQ#)lnbIB^OZz!#iX&bT#Vja zeYhwcSHf8;?|K~c^K8PyPy>5prD`=DYqFU4{Gc$4=&QvAl#Y48nusYtMNb?R%i6{> zUF*THm01@a?Dg|rpm$&_;@=;4W$Meqk-)xD4m4Eq(h}aG)FuTR29#w`b-c(aWC{tc ze(&c(3ydt`cTf`yq&F|`9?4myN%u7faI0iqZ2?jYPC#?&wYJAOY8fnLQk6KZcPrKM znP0Y*on!3%=y}w#!`T9d#4NJB#p6=p-;Bl8XnY%I0Q@=rZ+gbt^3pAfw29|G4LyXP z^g?`h>F$K!(bA4D$!=c5ZdcjJpiPkGEV|IcAoQNl)*SC!mo9>sQ6z6mpxvMHi4!m- z(2F48I5W#21k)BU4TisS6PDyd&yc(1Tc_2o6dCZ1v6O(uzCRVM`qwExFkW=u6h*#AA{bxC9&Jq zU^;{~t}7^yDp6)$O0my>?-mpZ2};5tGXNknU#uE4VHA^P%NHQfbE+nTt0=#Rri%29 zpQ~HT6RZc7X@$jW(P+1Gho11}yI;6n%#zlt!iI*=BI#szWxyJ?Ba43R4cQ9!Oht{n zq998b#L>d8x5sa%iv3se3^bG&?%z9bng0V-op!A1 zv-gjx!e+SE;;-v%D)Icj>Djc8biQFQuB2tuKxXT6lt>RZbS2QR(iuQBCQXfm`@)j^(+B~>M`qmF)nU z3Xg%AyBDc$TLmn44G5XGVavjUy0;1G&mI|GDZPd# zz!W^l?~CA&^9KYG+E^W&7Fz#LGlHNi#IY2QHLPTwe6ME)20&phEv|!ZfKKPD^&Wza z5b;~fq0AZp|Muk!{2P1ViC#eTG@+u!`3co{kZ$GI-k6gO{zUM)xS!Q-dZ(Kt=e;`_ z4&k$AC#6=gK^&3xxSqy-mS-_b@l01@m*~GeY+-You>}O0kLKLdMPnbsN>u6Y8y#Ki zE4ohS8BnB#2`;7Ov)5_5qf{8K^ger%jJ4zs7tcH9+)uf0Mg?N8pg@b_swW6zArz|w zXN#P+wm5x@c0)NnG303kkxU7M_T-LxC`%M3^CDgW_^pO|p#k_ii@xiPFX=xwDBnm- zJI{z`&g$FrkQ%x)q|JXnPCt3&G~o^!?2P&F_lIqAOKS^uF&M0}Rla|FkvV65h0#%) znc-e|U$6erg?a94Nctbk60)L$Y`1?@prr25%w^8x`V^jJz)8`@MfV+>eUSvj4+@v4 z@52p6t&VrVlKD&5`-JUpoqMe)pN$?>=m&=&A5O@FYnV-QZh*3= zX4f$fyk>YCcExNN2wH2!NxQxYe5;5Us21kRCa9symGuM6)k5Y*?9@%=b_4bO^Y~F=@!(VpbyBz7CqqIO(5I_{N*z`C)lEVZBSsgF)1);UT^so@{@ITo8Xr0mR!M(%FkB{nSR&i^(s#_ap z>hNL=|0_%>qrBWsrlW+^yO$TA5U3&HHelh>+H}Fqk=pt5$l?8u+Os;<XYD0&g zTetxF4%RzOao#%+LEL)EgX=uMA2ZW`Rs^Ly#*p4Z9f2c&fbtUjgMwF+KEZf0)?~I$ z_v_9+5NgMlvHUj^+J*ADQ}30K|Gc3r+_cAqodH&W3x-`P8zne{oo-ty5MPn49&5Re zkF$$XbF~#~!l$x(*Z+0PkSm#W@E;RjT$QRZfIE?iYT~$OkqKUTE@NmGbjt%wub@Fh zXmn^;G3DPI)MMA;&9|+tbp+@e$e8%($SW-!o}TQFrenD;*}^+|8A7T*A1a{tEEYlrXE5ez)qszAdx2G(;ZE|47>gwG9(=#6<7&*T>V5j ztRx^9VJxmIv@Nu2thH4U#s*DOn|o3!^Xh#YNHENpqlIn?&tngT(hdGXHsdIp47dSC>o}j6>@`EdNI~ z4lW#>n>g(y+yJhY>k45QbIg8%`~;xGp{gLW7r;J?{=ueUvs*-dO~Zd==E3g&JWajX zuXC7w2~EIbPoLvPzTv{xC_9kx={~?ml+MEOSDoH2$!)7pc@Y>-Y?_ek^~v@_$&5=S12|GZ#jJ54v6fh~F!5gPe+&3;&B= zccwlvyfu2icc$o}rjPB%f527*0o*bVS_nyyz)&Kbk-u{xS%(C~bDjN8b|3zJN|4N0 z4l`f;p&d@&bc-y-5F@oW13c5c_|JsmHK|xI<-I8qCt={e+y1WuyD+^+!Dg#$kQ(oN zN4>OmyAE>kk{zpWOSc^IPoO>hWre10Md`^Ewl{UH2x?7D9>oAq<&P8AscAw|xVbiW zoOpeKBi!BUGmo~Cn5Q;RV2_G!Ic!YIYb_~~ zuht_fDpIP3_8wOOp7v^cf%^M?hd(2zF=_uR1H&U3cFaTMA4o}I18xx}SJU|1xQk6f zVP$?K-oPdTc=Biqo@un*5BbZ2@sK-?ELXsd~z*c{p=$V`kgcY)S(8{nC-`;j*Upk5`VgTn|B5O619}R8JxM z()fWu@b1w{>qljGj!qplY`2NKBwz1Y52ZTL+yx|PjuLd_^=W`bmR?3}U@b7{XI=0| z)5o|Mu&4=6J=F3#ZG?=zNKxLh-8!Hj|BuYB;6Jh^lmOvC_CK-!L>ZsK?DCg+tNIe+ z&a_wc*s425cI@pJfse*x@eZvw+p#6Z3U|9BxDQ>g2W4t{Wq)@0rEWaz=(_aTeNMtz zi38xWjH7(On5>u0P+GiZ-`Rb<{z^9mcub<<>|$m$jI5X2eJ}H>9C+h-FItFn7_$6Y zdjfpkzvxXAsdYG+v->lpI0H}~cM^YJD$$hioA=#cN;KyoZ7UgRstJp$__!Nd`1Iaq z(M5QU4gNSu5uFq}V9F2$h->No01ExPmdNSK*P!NGR6?O5$mzP$%*BB(715xfViEZ# zrZjV-@-7)<49BI#B^4Ht{j=}b*$WE<=?ZRJ{G9u~@}f9OAfCqLy{D}Hkt)iTRO@_y zb|ULZ$L+vAz64k%to_K)Z50uns^R&&_%!=&wSd(1IUOak^{eH!wDY7~S%4c_NxM{t zifHja1)>}7mOiqS!5kM(kRZ4x(9zXT zx@86$bp9l!GzcQOfl{v^&N7|)>z-+zvz0hDXGP&5m(t$kv_6==?cOblnq^kJwnh@{dC=ynO9~t7`7J^SVnt!4_CFC+9EX3cwB=;HW zzQcTHc61v;=;E-DOIuIcihVv}Ag$2GO_Z6ekfY{fBlPUMQFk7SzqjsG?vXJ`1AQXO z1UbhZxVLyXV@9JgpXT1jo2B9wRyQ*4v^7r^w@`WReN*!h!1g^dpr_faQ$l_PINWK6phQUO&ftIoS~y!oXBAeEqK!ri^*8|RQ3pptUR4^;GA z-{+uAq1rqg#Cq)d;5~Z4T)x3f&u}Ff=#MMkg4Y`NkS)WC28~CZgPtk+d-rHR!PZku zL!Mz+`SJk0_{yBv%4#t>2IPSKC#Q#GwiTSd@Sl5Km;sE@_2W(>+x>&1b>?r0+nNK? zA!zXG!JN_0OemC*leICfT4vRvFpn6F%#S4ICmjVhKzn>L#+1n!ex-s(F|4m}x_pXz9cMeRJ-dVOTLj9T>Z0+kF#mGq=1pShhO zTHrYSVNo^=ymKM4Cd^vXjba$~QiDa>ol;flMsX{Q9^T<5v2I8ZCC4>Ae=8+NdtH(2 zE8@}S6ODKbme9=YDSj43qADjh=Oa_&8Gh7z^Q0o^Ci7Jym;3bAGO+KXbB#GuFVfB602{?V8K{lD2TA2B+HF z{KAXb%G`AHWVt_3-@pW6)^H!EOZ5PIlC`4AVP0iSxmEk6)2l^chtI@c>g!yUMbVF(l8UaZ}Wf`aoQ7D34n ztflEYNfCpG^_7*<^NTs{?wU?^N6=5Ze76Bqub-rzWy4jRxm8W1$a7oc%G$)G#3_1a zZ<+Mbq22-Vi#L9b8?(^=hnQ|Ju`-iiy3-nEd-nj>$SNTW6Fj zzS_TA^Bz**OsTJT(>0ti^VUsxpYl5`C|-T5euyLd5{+$@i^vFei+6 z+e<|Nz?8`MWCWN?4|<4^fm$@T8t!a&1iYX5o6+q8lZUmFsBl_cC>o;dBuNG~z?qxv zG%W(J(fK7Yp25_ia(BMjBqYN0G3d@iS|?b)N_`^u4d0xU#Z?7VQGO)9@NpfRH^G&| zJELk*KZYY`M=V z5TZo+DK$WdH5lx$+O(zO9Oh7yWSU9YX!uA!p<@HDm&zRy#*s*Y@d=OJKH-{qjg?_{ zu$3D6;NL^f&bQ|^TC9wexhjQYzA9d@q3QXchCozQ`6EnH_baT7HLXg(<`~p45L9YG zon1s-rOTd2dF{|NnxX+k3$anI!L_A1;02!GW}-kwE^M>KHRJo48v`s!;ery|h3aB- z6WI(QUbaG+o_m~rEV{OQ6KkC-}4)CzE-19SUSrw2BloP81!i)0;&Eiznsf{btV-HRzFS5Nu)M^~L9 zmpPu9D{Hwr5_9jbcRQmxa?X$-^39K+yoUMJ4#=cLo`C=HIqcac-sdgh$>@V5g~GHJ`wp{5un{aXGv zd3SdVdw-=uUlJOycT>?#1grnZX3chD2O0!jesLu}b2Ga#aFvn0cJo7uYctX-v!*P} z(AcOyLRCCh@5%OLU5}6EW?p--FgAqlx$bU3eC)M<>c3JeKe?)QFEn^dYYQk}mL-)N zZt$vLQF8cE<+aHDkIc&%_ng{CIgsPXS^v%pR4j-AFK&Q~>IPE~_Ozl`QCh zD$`@sGv`cp86Q|~xSRNd+h#?*rJ$F;=)Jraa1P@kO5l5=-P%~UR~Tv)@K9E9`4p{Y z%|r;qk7?-DKI`SI$6Wf2YzO)CgP8pv1CCp+6E;yZp3G}Uw@R~KUkzQE6Q}_%8y%?+ zfGj~FWyP@|Yd}2U#9N3pWOY?k%t-L_VZXLMQBJLx$}mrM9;mFOECB_tp?W-ci2ekY zHCtv81u}bWJH8(%LU{G?n;CM&`AZ*mgp+j9UY#inT4FAjW`pmL6i+M?!S1`+QN251#*6 z(Xw2xWK+J9PROYN5HF}vx6u3j2SA0Ob9 zUy1kV7s$WXe=IU)?sh30#dm$9vhB#-Y@5Tq{s{}~L+qo@{XUFyVlC$F`QMMnrM;Pd zHW%`C-yCN8PARK8rXi$%TsmZ?~Ff8_>)YpA0N+)Ef3P#%~QyMTt3_J?7y2~7&*V{_);tL zm3}y|Qr4#Y-b3pM@33Vu3$Cnb_-cs%JZS z>(0!gQ+Z}Atceoqz-amNi$RY|wXJlV24jpzEvwYsc5_7@Qh4fCCJ}JqhhZsFPeTbR z6BYx4fC}LW;oA#n2IZcS^ILdxQr3j`%(e)TQDzCgngeAnnw4&7om>&}`~@3V2VH*H zzX@}PcX-!yhhr7n8=2PYJ?J6Q6fZSzHPK3#WF4w0#{5A2w&NWD zf4j^BTF8@6mH^mLz6yq)(5@p7p|pe3y+QgVFuJaN5c z2HgB|2<=Jjb4$0oRHQ@t4Hu${ zQP-M?hlhmk&M@C8e`|F^LsJT0w7HSnyV3nYm%}%X zNqHA|o2^Svf&(BW#H8D@*9teyQO?SpFVAb438k#@3qA@o`{%PY3<&>;tSl|aNJN$D z&XQ114g^mpoH5vbs9~uW+OkP0f~pN>xir&*1C1(qEnz2LI8*9}2W{CiP~*Arh~@)Z z?lkr{lvWNbyBO&g>Gb3q<%6OYOY$JshCt0CVXA-U{>N%|gss&wY6L;ZKfyPcSLZ;= z{Mm$aau0(8z$6&F6aFZqNBsnO{i>jiDnP*e3XtU5dyu=p?);vpX?5uR>@q(!>Dc3^ z{kOWo%1Rgu<*xS`y9Z3W%^eC+(3{K$K2kA42 z>ZsjlVaTl&J^TnlwX6D$WJrC(-K8rXvG2Uf+mQFdJ}NFh2d1-80!WT@t#560F;fJ{ z8hDQj2VP46$a4p)U!hD$DE(Lqo7}F4otgrt{hVIZnX@9jlr}I#{9=@|DRll}=hd3VTw^RLM zX<18n5QWKzNBGAQP1GM*8aH_z%S!VNnJe5$kx{BhMeiHNg0y?x4f4I|D9F?xjxeaX zngPXgc;H`C@Z1~3A+nETI8;%|bYlOWWB5LqFZ=h0?jaMMsUrauUz@p&s>!-(j9rb{ zcMV{OtBFUG)Ow9V`E1I)On57Pnkz(r9423j=lI)5sKonfXVDXdGI6~I6lH#|W%rYGYX*`K z%0yZ>GxNp2gG~YScrN@WO ztcn1ng^Dw?iT(UBDX2@4r6HV|Ui|6dygFZcsEl4jSH!+{M?Z1^`o7DgBw05{DyG(> z<%+2@dYm*9SB@)KxJ=r5scPKCDbnju<~lRsQug4pgJmP9Qu$O1T4bYdp6YtbM2ELn zfXHdeC!uw`6{P7C-reY%{o&^W%B#HwHDvJYL>7XeA|`*Dlk>s94u=z zBfsNUi>~p~lf5_JH)Q!pU9#%|MX>mBaR;l#do>C|<((DDUuXW$xIXN~gj}ysqKcvY_EOocO`CICA+Nrerkbj!e+^6l8R((dC6 zU=CD#YFrlykH{1(?JJd=dgUGUbG6aK5caJUOnU;+lbS>6#x74Ej!aryeU;JFzVN&?Rk|~|8YMBVDMZ-HB4t`V-SW3{G;^!Hsm0~pX zEmy%`xZhU5M&|cgQG1Hm#%H@Pqszb6bP#J9`lYleL+1E`mWzOYd^Uj#&Bq6#bXw($ zEHBt*J5!1H(UPQKUlT3;;843!JT&pKDr8qC+vM)oKYo18MJR!eHGO%_;@z|ZE&*8* z)pG%n-923Wd!}d9S;eyH%E<{GB4nq0(f*{F{76Hg=abq!Hi~35Fm! z34GnT(la2f)mh&F_cxIfh7B>$jWq1r#eJ$B_ICD>=O537Av979hCId=7TdLpxTE*6 zMFOIUoYX5daN5y7h}rECOL!!V>&v+vyn4?x>{?8~Z4Ex#LfAWC->kDsRR2zzLebY! z^U$qy?|I?QjNjRji_h&&N*aD0&L&g*{xYbt57`P#$(Fo>C~>1lu*z0C%h?he1a9K=1Z42kETr5n-nhu5Zj6v?pudD<^hZug- z6_W+cB!>@Or7Hx)pc|U^Hhk@?=ALzm>29xtPC)M@p))f&_rxR>mJd6Pxs8TzYjfEh znv14}Jhn?zSwnGv*j9f^!7=$OjO8bKae=^eT;J|*M52s-{k7yYouk`(e6rs3fDI6SYA_+cGB09%mH(?v zrmYzAb6)+;1*l0y^As-_|1VDNl?bmL_unLM*TY}dDz|G&bqBoQfpsc$`JcW7Pf5CjB9jO;ivb2Njke~&;_E%F59JsUgW$LCszN&^# z@QKOD#r~2;Kz{z+-kRc?uttZe5(kefc>W9{w9I1GSJn1@w`i2Vn`P?Qd!B~ zaV<8Na51x_phlNrbQJ^gShW^^8q8#!+G(y3Bp4r%Gr!NwX@LB9c z!!;IortKbePVZ&!@Owlr5^Hry=0J(En8m-&ATe4)f4(%x^R-MQL7Y#99UarSn$}RQ z-rFC#)XxRZ#bx1pOeYQkN=wH1*U%a@KJ&@`-t_9$=8c<$_H%yVCBB5mA2W5We|b&k zC)2omN&0gCUWoU#Ev=N`t=HN{^W~h!V-EbcUmc|;{{L_DnRW)?Y>P9^V#mvd?t`m; zLGUv)R-%H5Emz{Fd?czZ+8Puk=+#7En=?^xL`kWasQ2rgXfq#RSAX9ET_uSVZ8*Fs z3d(u``+2{+9f zxBnrjIgAoBlpSfPjB{#IFg*ENW^Y$D#S+)3`UT%MI&o#jJ94|PeYJn=U6A@x+y7cU z|HNZ~lHs>G3A}xeV^y~Y?uCxrR5!bKwbi~>YP@SGaM$<7=W7?SSN*`t+jZH(1ywb( z$5dvc#Xa^qpor@SJAY?kTD2o9#v!%27RICGZq9*RK@tSbKLKDlC_7;^j}WI(iGPp~ zj3uvZ_}wV}*ZQe%pQ1pdlGsOv3-k3hnaOPL2&I*!Y@6KT6@o)_yw4+pX|I%-u@mH6 z#;OFI(+^BD1hQU@DmND8r{)qZV}xH#n+WndCc{6>*-Gr4B47)9I zN*HTd1CB#QvJby~sqg((?xMjK!Vs_ZR&%bEzcIWM;NY9}>A4?B{gof)083d2XC$P3 zGwXh<5YIDJo_D3(DR)=nR#y7ihr76GKvs>4Ob64~xQV=++9UQVvLW9^4c}~}=EbR# zfA&Fp!TzTSNFodPIMHcy1J(Ekq{uZaAiqx6Gp=mC?t7c+NM=89l!MF{X+HtG7r@wO zS-zP7RW9m_``f@7Q7Wu=+yNssmOnRN+w7zccp!*aI}88*A}bfXm*u(0C>!!0S)^*& z+V2T;0haUmN`G#J2*>m1HnuV6duw~PXkHq;NSp*P*}Azx`19I|WeRC^v8j=VtUC2)tH?ic?A5e({`h$whCygPde{n3n!h z@%{h@nN=Fb2W>$p!5?+bvqgizt4X(AY}h8b<-A=F$TtL>In2Jus?jZ;J644~yk#6e z(>H(6^$)}a2OeUE09o`fmPgiPMntPth33?DwknYR-l%sK?VraBX3`?i5G}7R!X2nS znC)1Kkx;yf>{dp1KSO?chc5lcY zA*ogN;m`Y|Mfe@{5>=t@C%p&_R!y(k@7K9 zwFh*MXO8|+%u8ArGP-WLh+9-iXIeVf)5Se}Z0)eBr4hd#YzT}>)cBhTlo&%M;)AR; z#o2>eX23GUxFJ4Q19_VYWm*dk|rirDZ!(f6}k9-e5`s6D=$?~YT&B&XI?io>v zHvZDmk|aRrC=J*Ff-F2*#IZ=~fMU(qk-*4rA2LBHwd-S_e`ufPv>BIiQwk^{RR~h$ zL|uX*2tnH-U);5p!~Dc9p%x-$c{`>In}j z!L`1@ktu{kLghH!VnGxuEeDrczfMFk)>qEPcH%Q=@%9 z>P6G5LG~vHOWXzV7&3)*3}#Y|<*F5`wVGVkAGFFxA)GmJH^BXYdr?#U5@(2+nO&>t zSW3fso40r-GSV+YE}z%=;v%=4PNA#fX;D60;560b>IYF36ZH6hDADJ*&5mW!mYRm~ zV{6M-F~EE;N4?h+KUWtIpH@n9MOgl(XSQ{?H35tl&&z znrGDSV)luMQpu%@QxMUdQ7qL@1ngr{qqVsi@8$%MG-G!6m7BtiTk11Jlzemkglx6* zhgxOH-k6A;nwD~$l+Q7{cnx%Pao;LRe$UPA16cV-LUTZmXb{ssS!?I}M6r|gaVq-nz2~PtCo`U4-WY>MJpchoxv`L^ zGL#B=@?5{P`wv z!^UCF4`XPhfY6@c9qAr5_o?p&%+O}7>7S!L%ygWMEKmJ}yc?m{5?!#LX`ghF-%d7o z_I9o`dOQ7|x9-u4Turf8o>APHPf~)?sUKYHSg~e{Y7-z}I|fw&(Sh-rBn5}yQLeaU zy>_N`^V3Mso>a}XW{zHt3YTbQ0eL}bj@$8j@;O$LVuLBajBOn9qSM$$pU>r#BhiM@ z?{;X~1e2KMe-5}Y>os0mOen9G&x6tq&m@7ZCJJ+wg`F9w(ogno;#(mJSRx4beT+Rt2x_ zR&{$*+E|{pUnP(3X2EeRatBGvH?DrP2;uoU7y~Z5a`|z~5k7^lhl{Ac^6R60%#5%VKVP_VQj*)GHo z8c2zN)dF&@8hCH(gDG$&On5ufPNO|aZz6Un$s()9|VMhD~uX!Qb> z9in z>{yqmDx5qMZ&I|1xcel6oI*fp6qI?zLUMkZzY;3K5d9ZX?Ad zTYyj_X*Io(XZY_@$k!z_lPW<|`YPR1Tj^@S4-Io6c(ki*Ccp#(3|BKEehE#>5Bqda z=dxA4`ht+zz`n4&zryp>E^F9fQuCKra%_{;qmZx~(Pz+PnGt-@K-uL;)68k+)en)d zJ;NRpZvi8CM6gM7DapGa>HE%#Ts^$TWABES`{@}UJJeP+>rRh+fT>T|Bh6bF?2Y&j zNXM&sls%Xm)&*y8QO1S$lB94M3A-n5ygaU5-5;45si_-Cb3)}qUcG_|cT@iSA@5RB zvv=#TFFOE=C){)3-utiI@I%Z$=q24oT?$)4xh7h)Agk0@@1U|XpUg6ca0QifRn!L$ z2VR=EQ;>mjzi9Bcmj{1=ty_-Zy=Uf|FA!V=+0)9ad=Pce(QMeBsY`4IRpghaA&C-1TZ$E3lYM5`>KRQ2OG1wKTR~H4ENJJpm|q+>KOnw z&oHf<5U4>VZkQ)84ttMYR*`Aj3NjY#7z%e3`{|0WC%S&ClQ9^{uS~GJbcIdLKhN2S zD*8Y5l5Ds@BITOw}1vpDPB(|A!maV&2A=;e{ze`I&i{!E6D zU=Lqo@prgqpkaZ#(!ZF9$iHDLPXh8uRFI$BtZ+`KEKc!s;tCM2x&RCqUb1nIS|lS; z23MGKQdh!L`z2Irx|8Ix9(G}Are+l@@a#w-U(Pa=XJw8M1g8z|rJoU7CJqtzXVlc7 z4uHkw0a0?zHahdPc6FyYqBVDXm0#>AgzSsbqvRspicpqZJ-m+ z)mqPKW-!o%7R;D?_sSVCtL!m$lX(-g01i;)bn_+H1$+}G@XnU4jS={VS08jM?ay@l zuZCnCFUlxcT2Qvk;wUe2PM<#H=l-hK_SD$f?s)_-c6(vuwQdG`CgEh@^uWz%Lrv_e z_;bCWZ z_@vN2g5mmi5wA^mL%|b~@#d9zYK&Urr4r%B=|@7snk|zHujn{T04h;Us4qkp&-c^J zyMObn7lF`Wy*L`nVm=>^ASFeJcZy5fiMN*#{?s+6cYi0z9`DWpy&x3k6MMN zU-2hSnCL8XeRysCb(p=*bMNyn?RiSwzs0F`B@Zk-bw2vZmwYo%-S9yfCLft%`z4aZ z8ArM+MH){^`=~!#(IlpUiaiH%#RNw_a+{Y8rTo=i_i8Kr_UNUQGVkO-iuB~9kiCig z@6xYNT4jyS11Nu&D6%?mhK)0_nE2TT-4F9W=!l&!t+ehw@TXU1TPq^heG5q;Qub}KC(PKli0nHvqmXTeH-=gI-JkF8pP9erJm$X7x$oU^}xdXd=F=A8V&S@^4Y4tCz z9jhM_-oL|#M2Zajp3yw6i3%*YhBX(`d5c&oh9ub zw)wKKR8@~~+S@C#buYi9TaA@7#jFO{_jEJ~UhCjV-+mOtyS58`O!;qwpT|C5^`yf8 z>`3P_Me49j97vuw0c>w?bA?5b#SC7}9DK#)Tl>W8sv192I^4vbIQHF5_@4$7YgA@J z@?@O*B~ixlY! zw-0ryQVPEgPVH+nbediK5b#|~-f~)4_-=oHKc*R|-sx1Pg!$9>e!u=X1W}kl79Ieu zPZP{6MPbtbo7EIB2G5zsic?~HAbgbIm3{m!n0wN-~>a)36a<>aV4eC))Zz5Z?nUQ;6>EkgLpq0`$j#J_Hk=u zy}rajFM?d80O59cY{zHYQQ@{NMwlxU?fZrHwz}J)zG(GIA@DgIA-A==4BU~8FnVajNBi1t?QYt{l~}T z-5xUICrXL_9@2$Brg2vw4eBTv`V%z+hcg-qFZgR6zdV{h+nl1(WA<*rl;af0qM_NR z?-u|QZ-aC|S4yE@WwZ^YpgyGtZBZ-Q z)oAv=DxzmjUZp6+((|yY00+jOLMO!@#*yq|63YRW!$}uh$G5RmymH3_Zk}kH#gUe&zON|QnwsbiGLC}{WLJOMG zyHZ3`9S??{g+q8q=-7wr53Gu-@=}{D8`UE1opG0ao{lN8{2Xj%3ZMy1wwjRH@i7(U zn>y&ER+|+oArrZR0-x_OA1i*8EEIKTai87(V#W7`PZsDvO7lEQ`X-rsxAg+ipNFPS z#=XYMAuc&jw5k&GxqZ(6J<+W2xshYp8uwc+RWd2*t7K$l(t1bc47dfQ4E#IGd_r|T zafD}y(Fy$ujX`^1_`UWwL;zAo4mYQTTrK)fIxNPLvd1R!^{Q_jjdFSt!deHlCam5!@WrFZIkIe$`n&0`$2PtnZSTaTnMGDe8BncIbI|i>AKj7Y zIiuxQ5Fr{bMQg=e8`#=0A$3Sbh41EAVx-=d<=Jt^e*6Bml=Io(>r4HmErs4^I-7GZt^wBF#!W~~Rfn;!>(Eo9)VY~@nBT~rHwA3cH_i;n%C@cvD#2L% z*+46Qa?XldePlb=Zfgjwk`?XBC1=Zz1bui*IV?re){B<9V2e*kna{b1v5-)$lJ* z95%CPfJFz27cnivO(}Q&2eN3qa4esSz2?>-jjKX6qB|hy)pW|t1t3DdF8T-hZ~n3E zy%E5E0D+bCGGPcb^^|=^EEy0iJY1q{g~Tmav;Q>6CfDa&Zj^^OHUV9kl@#3l@2P~f zo`DOAYdsQ@@vqkTeVPnY2fsE5+%1~3tftjnk(jhySW9XVnocw2zsBGklxfIW>o;~crdMw8NJ}KSP7N6vO*|_?6LN8TK!4l8MRdlcr~D&GV}E2 z%a{5-6Gr<3rBin#?(x_Q*i4IA+ZwVp$(%L{#&PR$5}@%|J(7Rd2&>cF5~adrvP#|M z8tdBm!lO{$N*ifyyg{hVH=dx5{16KFC~3ek>MT70K&8$uEHq4a z`zoD;CYuUOO+EA|xSA9$A6+}2Z_gwx^-9Zi2_g+Vr@}aY82gOZ+s6*Mk zo1CyM0w0J}h4~y^^I$o9FCN2pqPOP)GJ1nxvNSpRTZk@T6{bbbA)>pW92;0UpuPG~ z_B;8WcX@Z#)HBiN-@I39{qqR?3DzT0Zc0G3m8 zla2Dbo!boNgw%JL+tNh`?hGbrRc=0VjqkU2z4*5{vKbac_=pA&gTIO>(uZM`Od#7? z_cxD^Qh*LBLWp0j<_$YY?Drd+{G^g3^sy-Iv>$$2`OT;7*u&TKY=$D^2XK1EU^!?$ zV@S0E+RcXXZ4L7ZeKm)~yD>}bG4G8rdX5Iysd_mC>MvR|{%zC2DH2nA{#WL-6);6A zIzJM7o#sV$e-~AOs9~@g5ulu$5U`Ms!Rqadhrx;T)7MM9(zQ=ln9ZM}_E_y@kd4QC z1(pS{O1N%u2}Nl_)kspqCPr|+3uLA25^*!6?NsE&OnaBVK=ZbYIiFi3C$n0plbFKH zU>2v0`Ky%WwS*HBBcSD9aWlUT@Feun2OIqY%BaTzjP1R#!x0HL z>w!N;wXT`|s@$MI*w6$5|J9hAL%MmrI5=)4E(np@2Ns8@Az8>;G4)VE#sEr*#9RXI z)#dcC5yJal(}}ZS^lABW)pYaDT8Rall%{09;hdG0-6=-2?g5NOuE6` z>nDO8Xkm?InzTb8tT1b?(th-ZUX#u2C*?ycc|rGF(y=wOOVD9Y6OE_kaJP-LlT7GG z3X`A~W5E`3;y%Ov45=BX2W`1&VP%&xB>QD`Ju$)+Fp`Tm>hfQpg#g;8e1qZ(hJ@PU%b02INv3e3fr@VflO2XPN7ZmtCdY-{K8VBZ@a*XCP9` zG%hmBHoJGhJg!q*tEUlru5BWDjP=Rnj$%6Dvi$;WCq|p^TIyTXxo|O5HlzIn+PTb! z?odUq6bnG>S-QCeX`&<^fa(z+tO)Odh@*Ly?hk#QC8V&+x{QArd2b$l);jArpwFC$ z;{-N&KzxnVMc#tVb`h1kamf&|X8ISTmRwl`0dv+ApP0jrFS(KLnJ{%jn6PKBtv8-q zSG$&S9{lE^7DbpW-M+*`aVEQVV z!k3$r;scHU2LeGu{9&Yq@ZrawsM{Nl& zJUU|R@15y>X1ywA?Nykzk4wg_yj^Z8d4<%*AWC|dnbpx;lpwQlnaN<`OJr1vOyuyz z{SS7Oo{+j>X8{)< zOU5q?fni@MAX3E{zBWC7!)XP3QO_;R%^{j*{;g#cw*thF)6ZMjy^6+Y-c&OHPuyE$ z4niCt{-Y`yRN8Kw>nb$v?Em!4;mGS*Ag3nl-t)XwJ;7oyxntC**vs2%{Tfj@1oC2MD1vvpGu#pSqSMCFaIGvq1gN`%jJ`&^vMxYwb5woB&Xw}$J?*IPH%7DbS?$$zPt38Sn{ z1;_IwDsZZpCwul{btwKT+#t9}NjEpgdUjJB(xA}%s(q7_Z%p=j)`--dAN%cl^7W6h z239nbJCPX88JXx@N`2v8Vc~!A_WFI0mr%NUJm)vqo znY~t%{*HXvXh&^8Ccg~o>9SmFvNZ{SvRP+~oxOoD&FgSzPR{SiPd-Y73&YU@3$xxe z8#+2>h2#?Nv1@t=nd7Og?swXT;cCZO+U>{B^dr#yWwCmbZg13t=4CiPdEy4;htGaX ze!6{Kxa8)26&*HX-!FP&#cn@A%&$sk8o#06=Z?=B>&utEx!kS|nN%W}+)ePYmu+X_ z$-Tz~5RE-X#_|T90flOwHXSk^ggq2m_=)R^;;`cVV(KQ6hgfI|6kp znvLmk(2T<-0H2>FTD{KG;$^ zpQoP`P2>q}ZQm@W^j|;h@t_doQVEzI=BJTZRWd8>;Klce$sJx@1&!PM$mZ%+Gl$W& zPl4lRW-k*Q@{Hj+#%rIdv_H_kc7mE|3XaXqh7r)&|c=HTY zxlrv>95;k?^Qd%|JnmwY81n!h;#4PKPo=94P87-7 zqT-cj;Do-8Wp0`qP(quZ*g$_lym!)2Rh{u{ParG!$8M8#=$~6NJ*zAe(;eCVeP~wH zusw`qi=I8gdq!4Oqw$bwC6xFELqX?@*_?l5{kHvI&6*@$i>}3j%;cFzAwwl=bA^nM zg?f=F7o#xFwpCtfBbmF#wI)(|Y_*zpv8B??jq3AWuOUX2*7Kcl7i=}oC4k!5zPtp1 z9z6=UF<%SmqI{%UZAx6FT%?L3FO!PngYewE$cqtvM|Y;vxB8Va)d%nhvnBLJHuWd0 zzOHArp4_-S7-Y*K3~YNXOSHl8XoDmDcX?!%REh3qJBX7{4k?#SiHUJ(PX9j^9SEF)t3HFH#YLj z%`Ge~J}~f0df?Q(-_qw-`OM`>a=K)<`#Y7sboe%ui7};$gwW%#(!dtwG)a=Q8%GNx z+$}?zkewHghY7x1sRCz&HKb%o6!2+`#3)_#ipebP zxTrRKH7v&Hy_i6gt=Wz|>H}q#8i4A=)qmXW+T}$e=#c=Vvx&eJwbCZtfu1`7_s0LH z8@633mG;EH&iQuKu9e#Fw~eCS>t|86R=?FHt393tS_RoPp|@P)m=2leK9 zYCFprQM>lOPf~of^KO{$wy!mCN`CzHUr8@6m5j8Yuu}zT5>2FrpGnKC&$;=%(*G(4 ziB%A|x8YQHfxI!qOE2xIbp>B5)<6_)a#%$#Qbc=E(C+i*{%3t8E;LZkq!B<%2^?E0 zYM;!WvfV}GpeLnM-%2Cf_aYc}Z8^VrOs;@90*)tS`hB0=;tPxJSZH4HES8V>kofjH zxN^B3I4Q%aXHgRp+LUN=OE0Vjvu#>J3!1L-eax5`$Eu!FFRiZHdeRW-IsVQruJiMf z93oPj;>YTm&k0DdE)>yraNSxrz(+jHqg<>+{le8bBxsmJ`5-x;;cGXiTD1u5{>mOj zg^TZOgkAaFKYQ9_>U|AyqIhf$30oxbbEJf6M862r==m<&F{kTBvS3@hc|k{Qo&iO) z9J-JnX5bZB4j<9;Ao57A(XFwqzb629D`aj5hk0QBI}V2QBhAX3*7TYZpzaA=ygfk8 zAZTqbQZJ$=j+VJ0vW$6X4Z0T=w_Kl+T6o_p9G8FxzNRC z@*8>VvIf6UFA3sg$uT|`B3~b1bors<8x=I$edcqZN7GdlWxU@0}mv)Wox!z0$0>-QUlQB1y}zZ2;#4%B7JW%-OlW z;Z4a{Nu)T*FAA=d?=zj1-TEzTXVx`AS<&@srCZt);f_$efskB8p)uhIB1qM99yo3a zE_^aT<4mA)0jcH4K%^sfven|#4AO+6A6B_u%v0gq5fm!ZD0gdFq`!hk+fBD!jL6a! z2e|mU{W6RLf@A~_(p+wgkI?Q#;CT*w{xzY1(L2Is?7!+!)%qB-*P-V zyQAj$TjE76V7RX_V`wQw+aI>6KTO}jLlz2E`dLU)bqU0D6}Y3Hma1`hN&q0BG|~8a z+@P#96t}#d6CSZm|4;%b6`OmC+`5R46}rN~G=mi{{EcEk+}d@?Q+zI@kE>JPr^6kd zTtx%U7y%HO`*93Oq|J8_uioQo3?zRp)Gj}att~oXP2nZWsDm^4lGPhPS1SSqKxua0 zS6f~YS?)Fy6IT6N`&Sxn8p<>BKG&D-mPN~Za89(=r~D>yH|;y#@}i*32-ES*XfzY`zs9wqKwo?DUtN`4!6~c2FhlagpJu zG^D+DX{qV?dZY2`b(b!d{c2xN1tnAKh8F*;r&U{GPW~&I7<=LJk*U2(4A#oRRQwmy z>NHt!K0#dBgNBxDX+ijw zq=laa2)VG6x>@hb0Eq?JhqEXTO zJ~rF@0yf#ju`;A1?~hj@s6w>4F7?>MfQT=e)A9?vxBuo@kfD0mrJWQSu)wTaS~L<( zX5Qf%k9rWTcZvRdXg9>E2K{j2Z7rXtMLb>JkE71!NO*cF#kZY#V~KNCz=Uv@@a(uR0r=K- zb1z@FYcQn{wTsMDj015tX}4Y@JZa(E+o|f-+6rrRK ze8Blt9Q*dgEnRHizh5*4B@xK~HB!1S)6bxxG) zE2$)6Lzpoj%$}L0fA&>B-u6JB^Jz-!^=wR^cifvo=W;+OfnQjl-9~5wkv>||5^yHP zltKT~EYHqbj%Bn0y7wo{UBR2G4kr6WFrNL(rlsHL%OtC{z%>TzKGnpj?{`yFtNwLq znWngcwGHa`&p>UD)kx}}Gp;KUB3J)`g1Wzg*Tm5Va~Pw4ppY7Opu7SoJ(Cq0F%?@T z^9Nb&{BN}O7TgS&*w$)xiDa32xzCQw4+x}g7k;=fy1-(BKY0+UQul-(X>8gv?f0N> z%;8SbDAP>A(?C8ZuNYl=+8t>nMTVDy1SJ|h9k9hJY#fy;AKuhDuF zZy0^~BCDxGsh!)`xK9$Dxc|TpA^$)-rvIsDJJ7beDlxKPxuJJRp0 zIM*STr#i@IWcC4%;!Q5A83$s0pk@5Zyor2|sf*uqM&4`>`W8D-t>ex3JN*tvLjp#K z(|2i`*)di|>pQoQ=0o%0eLKK_D8Vi&2Qnm-2i?idR`U;3x|mNH8=!K~UPO8{`Lj3| zTnF!o)@x7;SZFAd1ODtR8alPKy5H@d9)pbTHXEY;|55l%shl)Ka(58o`suK_?~@)iyl_5( z-bZ+X>V}EBSq$J6q91_UDrQ4YG%UbdCgf^$A=1-bVPP;?s}{JU5ef7gGb8vOkznLiKjZ{vw>JfQsoDFK-QKK20q_u-%)4*?zdvCLb%({fKZH_@ z{7;Dw(}7EwdpiJi!zJV(`)OLwE5>uERwHzGR5@ljXVZy=p)b%}?l!^q6-SW!lT!jb z6z{EObqUq?IJwqq);SNVq5rx2Ix~8qB0G~w5RqKh>R?elZp^L%U@m$v8Udevu6z&* zHE^lve|FfGEKBh41}2y2NRLNdzk}zF`Iz%nr05esuKnvzc?=LB{W>p@s;1Ces%|Wd zXjntYGI?Jd-t!LxHl!U^YrDPG??TYM{&l6bl2>GI)v`-N(||?AnSZnY4+71E&Hw-a literal 0 HcmV?d00001 diff --git a/.pic/Other/Further readings/patterson2.jpg b/.pic/Other/Further readings/patterson2.jpg new file mode 100644 index 0000000000000000000000000000000000000000..2b90cd52bf02112fa754d73c3e40bfd6e304df74 GIT binary patch literal 34865 zcmb@t2UJsEw=Wuc6Oi5s3et;Gl@?KHDk4ge8l^}F5rohZid5+cC<;M}h%`YuNa%=y zbmJc0gbgI&ZU5){&b{N@bH_OEjkm+z*&%DMwdR_?*;h`cPq2{F*A0vfAXHQk zh!OaLoGe3<^bzh4ArKQ2h%5vGVT908aYAUoJ1X!6p%R49{c{h2T&EKH&-*)6(*J5h z4S~eDLumii#s+-((0bIjgzy>LsvI<5C4ECfkBAikm#o|v2pPUi5Z#Cv$Atu zyv!{uDlU0j`mXGK?Z>+MhQ_Ammague-oF0N17F6*Cnmp7P0!3Oui#dHtgZk2wL#d~ z-Pk{fKga^F|1Dh{AYIh7v^2B~f9ay44*E+t2QA&13-p|NW(*JfxXvm^ zGIC!@e^b-RB(8D?&*S)bl$lpTb@?3OFKPc!_CF&m>i-jE|4G>YqH7Lv6@=ISc2HB( zQ2#w>K@QQ-(bE0p(O>4#)6p@|)6&v2fqeXX(9tt7Gchv%{cr+y^0$Y-Pl11o;8XuJ z`G0jeSpdPsbutS%MMDLGiG~9LgHUit;V@mi>IsCM#45kYf{*?@tMlvvWiTQGISdVd zeNZ(@5kXKH7)G_7WhX~%pFn^_UBN0{QGicM>R7P95xy5t_3hqwbGLcU;`<{ELeOqB zBt`&l`t;sWp5hXk^!PPW7@(m%MKMB;V>(YDJys4$>@0IB`LE6EsCrKzUCnp~GLtUH z38d2wO45hotHP*GAXiMEqVQG>ClC(%67K(7v!zbm)35`U=o3hM)mS2A9_jf2X z;|T;?wFLgVh{ChfO+n+I0#{+ge>Hq_QBn7yB}3!Y{Q@>a3eRu^?58n4mBNfYfviZM zKw|u{>_?n!;wO-(CK!;oO=n;o9oZ;1e{`DxdIDiy?||+}0EQq5sB z;VW@Zxdt0QB&y31_a%5O7=*3%4&j3bBoV(8h;;inW~=P)bZ+RMGIb(-ecQz1Cy{op zRyZ?N;ZfV(ue(F#!>Z@6=??Srf192{kG*p^!`TwS@A|G{%87;kp^*RB2iGhf|Jsi& zTv1A7%Qc2w9 z#0TKYz9I2@yPDuNy8pzTKQ9%zgwWZLY;t9GkiGHC|xZQ6QR8b3yW`|8_4h5;aD^HId`q$ z;5;W?Z^f6yjE)|L+r>QT;u)}gb#QoN5Ny`%r@-rNBy)18o}h081?HxXS4z?5oU&aV zcYbtbapnSK-hEv8Qi>OKvFaV#3=Ok37yq#m3*%t)I~cxN8^q=n*QX$>tid}DLz3Ju z2-}gD%P!Ki}HfBc!A>cWbYrCGO|aZe%|^!B)HluZn{)> zjl0Y2EZ_|9PyZc}6~VBrbZipFPfl-V0j6+E{eJ|1QD_10f{t@-Em=0kKIa^Hg>2op z`SLehT~egQPwi;QzLOZ47M|J`A(#^3+!tun43>488CB`BtyJ?h zK0jNBcYFn%>doEnieu-ny=;4q_a_b(U*+F};R^E%3P1G`sD=k?7bv@)K-@oF*tCmC zAI-YK8Nyy#;_OlVa^=$S?-K~o$!aj=VmbO`go4)`(>1j z(`)7xieM_xm``^C`Jk3c$t(#>itH1*xK}{u@VqX<8**wTJAzrd@ITI*r`EC)A<`X% z;H9uAn1`AAvV34_CB#@?Y?bE5Wjd5H2LI&8pc$(3ylVXXcCfB2!uWPbdN6vG6=h;U z>H-lk!{G~J${Dc#{B8J|D9Mfaa%oH=t?tZ^Us}+ySp1MXsaSWvQS_>GagWd(q>+OjOh)^F}GHFuXB{5NM|0$u~L0X z2u4i`7@fsIj|FctZumD8l~7WQdN{bQ=;@lxqEp$LWrw|R-0 zHqQzd|4~pOKr77e(4^lm_uw|uhj70Xtt(COT!YQd5t{+NV=mHZf@}YuS*|{H3Ok89FrY9$7})FSP91f?cc=LtF6zAfLIh+D^L2^BJOEDI6#zwoP8t|uNFd!hii&jAZCgOWldxA*5|JO+LKRWgIC(eIbhd`B!;TDT&9F!rV*1P?%i^$s`w? zYa1TkWiJ}+gg1@Ew(Kf|UGnL8)0FpoyJ@1t;H+(m1y9oXW$pe~JCbX^-Al;$Lqp%| z>t%93Q$n(y)T*k5?uIIk)?E5_FM=H!v2FL+=Mt`6c5keE&h9zc%2&_7+xHBxE?7--8m4GZsxl9smt_&X#c zcN%LR7FD`?=IMh07n+~$y_5Hp4dR<{I=woT#f~@BVOTYrd($GHj6~ejo1JfBGrsnh zmZ*N&>N%tJ*e{<=R?Yovd`woVqxD%pao36|vNFi%t}vUr6WzB~6O%gGiP>~jp>A~h0&Hy@7p5OxhO7T19h zP<6vL5dK6cR^Rdb!@Sszt(Dcv0c+yl)#8=IKYJdc_Knxrcnj z339zIHKlWjigfo_4>{r>Cn|!^&)0sXB@M|jBhVeoT)5{>oEbb&=7=%t5O{dTJl8un z_XJXZ0@;qlD-lHjk(EEQG{mQbtj4EpEF}dg(i6csxu0+Z)~w{F!z#rRFIj_v{Kt=| z)F_}eGRFY2R{c;w#rp&ozELxJuFSl+xPrwt8wMbGkPWYTU_oGk$w2e zgH*sp_ONJqZEwJ2@8Xo6#PKD1!m;qlEZcXhBjNGpK(8l%Zqzq9u^8Zfms1v0N{;wzIB?i#^eMXAJxzjm(k0OK6$7qefDp5DHNQ0=(sSHqoM>)8E zHf1N6c3nMzNKsLq)4&GXy&%1Qtx|`RGTME#tMnT(54CKrs3he^x_lnc7VInJ$)V8di z_F8)$ITHkX$_WHSdnz?$6!j6ET7`s?ZjKbo2qz`0#nw1(ojQ70>cJoWzRQc1u>SbG zb)k$beRZal4!x&|;u)p}z3lDl*N3k>u>k>a2P7GW_gmk(K;WV<5mumcrv0-e>)lHt zh{yNp*txttuXA}`%r>o2+yW3WX__&e}fJ__?y z!ju^>M5wk;oHJ@vo&|1nX+9Y@E&{|&k*xLER}RNpaNB$pg*Re9Q(wIHsCsR9mP)SS zVk5*{MNB?1FGC8iDh*W z*FP-nm8Jh+Y2+WKb*<+}u0Q`$CW$Ni{-u5!zeJU;&*wGGjRnNyRGySON?73jSbkS= zrk8P|Vb67>x26eSL6pZt%iMl6U2d8ESudDdQPba8AF}f9?tur-XK~3UvZGYWnwpm3 z@7>{vDMUGa@Povz@8Xfa&&W25I%v8Axi7|RPR9TLz~hT*KudrKs`v|Gn$17j<$&8x zQ)G#$y-oNaA4%k8KZ#4OD~|GhI0m&BdYj?!)qJc%hnVxE%+uujSMRq6m)gVeQ5JbNBKI~~+z4yuV-w!{y7u;OJOGUNa zAV~F5n1LtQ1=USaMFLy3Yr!w|e2-pY>*mXB{xfWVg_1;k`aH_<8V7O) zRkM|6hExPZhA(~7)^h}9t(1YpvrA!2z=P@twJcXH@N1=e8M^KIcDnv;91fw7@a#^^ zy_S2P=g&Yr>lgA;P~krIxl;Du1Sjqq;7f;uib!bfoQGz0xFhphR1%8%;dhVkQa}ep z@C4$7P7+lBI0y$FM>!ElNw*4&XKj=BFF%@$Gp%*6Avx;)-cBN2kjhvCrnB0{yX@H- zoO-NeS<9r(RxRb+p25-wkZ~I(D-3nrsDa;z`6iRr2W8Dki36!wfk#gvD(Wk2jM|Qs zEq(D4!-N1HxyyIoLf+S&P16!4-2L30d&K)d+WJP)?pSeC`VD#-A7#e(>DAfqXAVDh z46FV!TR+oowpXbgKa(oa0I32q1ykMC!Xz_-YpgaM{!bTZ1XHvGA)V$G(2Vb5)C5-xY2|et~iEO-9#G^)4}modRgEI<_l(J$W8?vIBX$J&N6| z2Ai8+#(oVoik8VjL8>Rwh{&Xq_^>4#GrN+e#+ z1=RBTQsd$+)XIj36aNXMR6+X$Vnb?6zoH_$s#KynxY0LOW3AmQOzPgL@V;*QG*``9 z#LD%uAIYFa8aMxlfhYC-9FhV{YaIA#N)Q}#?zKCa_&x3aeC#+5b)m=*xGe;lF>4Nd>=rDYO6{Q5j2S$SyGs+dAW+>FI z0N9~c!LW=Oasp8~Y~a&MVZAm=nirLw7|33VTzu!_yf7fHHWcm^{2_$bDflc@<_^8? zor`J`nR2Ydf+|Oo9CGO2BL+Kc#<~-|17-X0Eo0DS^g>M^(m!6}3uA-9PESuAn@Q7h zq^uu;9s*DGbbt>T^b;JLb{;PYgfYH2fiy>b!OXs7hbB;-RH$p>hZkxNF`!953r_Mr zWeASH%l=eqdA+ZaE+AFt*XWSc(>Bl2tNfEBnE)JJL3$*oZ8P(^hOk~){MXkUl=~R` zZ44mUxXpe7p~V3ML3lK-I@a-q=i6L3E8^8HV<7`VOm6a$+lQ=LOMUo$Lk3inT1eM9 zR0>5D785Nx+wMfJz&OJrPz{()3}+Y{T!;e)As`v?EOUG zOosWHNReI*p2&CkIl+#H<`jcZ9%}d#avWryEe4x}sZ%0x8Lrgt z9i^ay2vS71?@HH@oIs4bPdU$cvzC{d(P_HciOU@jAJ(X_91ZwA(Rvc#w+L`^(`B_dy^NFQH>iu?VsF%~FHl-!MI_Jv<3Q-doPjOx4u z=A1Bq^l;xCdo+fMbUx=oms1mgFJ1|k9+1jpEex&O;F45d<@jMM!)3=wO{XU@8h!y> zHIPkF8k7+z{%&=mJ6~L-udWDk*hXQa7fc939c+9W$EWYtr=Yn=jV$R6$-wh4|p0*-2p1lfHP{B$Eb*zK~=-IlEK4r6uSC1?DT7P$6((sHSTg{Amu&2AU5bK zviL=dUPtAA~nkB;Q|1K7yW1QV6=j zCy>w4*y>|iYt%1ID|b7qZhv$fg%P&T@Ne;@Ww`WjLH!#s{nu0O@bO>a?aZVwHR6vJ z5K099?l{UJ7mP9hpYTR0qVa)`q$o^Z@pIr%y>laoee%$d)Q6Ifupz}O^mx;u+_%_# z^>mvgv@ZYswKoAB{`XYAJga#znzSe3^y^w^ZEx^e#r+h<&eE8RE-bN|`^7JdvQpon z9`wQi@8RjB12C03j=hOKPMrZISL*6M>>H-nmnf!%@TWAest)>3`u;)8l z&9ngt@3auS0pmrn=poc=DH3lgg%tp@j%gQxYGMo-EkS_x4p0AhoAG;j-Lh$tB7A23 z%fzaHXQhsR%mm}oX^7o#p(=)>2a`f%&9CNlZR7iLH*CqeD^gJi$s}$6zK&SKyWKLh zQH-7Rnb*GLX0HRm4bRHrc80P>C9qTi+99dChf{l=Anfcx*in-#!E5BE13z#`nBGJ) zp`7?1@=;TCMm82K*PpxINUwdr9obQnXSc_6L)r?k!!j4bMRSL)P672f`K#HhRMN>TIC{ z)B>n@Mi!D*wooVEDR_!d5}0KLUAK2SIh4$P`$|6)8){V#Z$*xh$L!_F)%~~j>_3AZ zOb#5-Qi}p7c}Z6&12l$wo?u_2Ry<^VtDRpb5C+$EibyXWCxTk`22dvu{rNkg%(g0| zN#k>k-V&~r<{6iT9{bSf42O)h>~hL0&RZ&7U-#+H$eMP>{lG=%>ud}{Wt^#u)!y$A_g}mh{)2baGlnhwr{sE!6N3v>st-=x zELBF+!wbj3x3%-wb%uAV;=KZwb6Rv0rOP${laRlWT-qMV-JRXEF9PB#srwZ>X6peIv*^%UxJB=+?_B>4v*>e9DoDN?m0R)W_5A0~x2Z>soBW4A3H{d}Dg=rK&aRQmN8Nux7520?)(1uLy;Qn%s>jc8K4cPL3wgl=6SIG)K!@Ja( z(|2ajYt5JD2N^47xE^fUa1z5(Jd%>^0xJYov*1A%>$4T&I~Ol*sf-mdNJI{l*l{xb zGV}Cu4=vh2O}G9ae>j0SU&189o;fh0NKIa_KFn;OZd~=E?>c;?^_U;Tcx)>eYNX7xT=Nx|Zh&+-cHjsSE2OQ$Z&=q7#a6!x$6MyXyOCf9ISMRtb)Ie)Bq^#N4fms2 zH(S~pSLi>GfAqx^d}XO6*W{4RA3yUfj3l&v4RdXh7}g^fps=MFytR@b$(dXvkHMlb zcoWd;+ax{$T&m!RKol>4vE1cAf+4}QXYjZ zm<5;RBhdhl*@nIabaBg534JBu%S)VY3Mw~qeahWsQe2`e&4b0fNmO(s8nl{nChGob6stE$HUG*afmaP z>hd=naSB|Z98~A^FeVUBG=$Qv8^?5%ekdKx-C7kIcche3FO(PBqao-r*%tJ1;fmoR zM@wwIofGTso_0E@S32ck*mv*-zU`o61Iql;N9Z={SeqnCM(fIi35@Oul`p7t=avi| zvsCISmT=gaoZ0z&y4zQ5=NbFb;~6@uZ(yeJXnScp5*!>1d!pW>IPjFd&?0s0ad5_Mk`@li@iWNPxasw@t^1KzjLn9%)VUV9 zW}OQuRMmMHY$gnE0~%mJX1#xD4yIQF1TRCWkl!eD<8?!!ow@6?KCrs_O3Ag|+mSx? z+|OwvYTerr$p&UF7LNSqpM3 zz^}(@v%j6aq+gqP%)B{Zp%bK9Yb>r|87z{ja9PU#HzN%DVF`a1HaEVa)Z-7*k0K`^ zg~ANUFSX^~-6+xM8BP%V`m144zBM`CW&FXHXfDM8v(B)hH;zfyt!9_-H=%%BP7;_w zdj{h`Ir+{p5JM(VPA8DL{!SunHl#HAN2`XV;829KRnv#22fM1sfK!C1&00jBM7pi` zAZYccQSmTF68Hpd2ssxN#Bb}@1lMB?ib@dWCXLKFnt|o##^+V+ujx9w z#i{k^+ueY&6*wKq6m(U~P%f2x*u6&{?J{`w&SXytulMh~vu(G<^_6BS6?2tQC|~j$ zk0I_C&i2>mlj1HywsqBzi)j2KFQh!MPGG^ZleieLYo*6p2w1P+x6HyB&wNS06{xVg zrPZBlxp|fCKWFYNi|?AL$98rl=vw-M#soOmPvS-`{~>YXh)y-YuVquVMUoaFKd)?P z86$|ewSm&~J+=8LM!9p1#{ls{c|b_hP_mC2EKu3=t*6el5@pFP?J$xZ8mF{H072|Z zSlV*MTh4V88xBN8#@ck;!(D{&szW-*3xh^NlW80sa5r zsfrXyl3F`Zo8XQM-oEnl4{C*)noI52UVK&h z1NnT3W!JQ4cy_J_hPNIDBu)D;rz!I-J_*MvHenLLAN8yX6RdTveq_K9N0pSa&dx>0 z+F@0R_t@t`_o4*bK{BJA_nOt{%e^tcNHq0lf{wvD$V|jWlH_Tp2tM*Hjng zk-8`zMTewCuy<>MDRmS!5skMo;p+-)w8|}{j4!G-?}(ie-Qf4iHa5GmGm~ulL&Pu9 z&r12-r|-X!N(eZG>5#CwGTfc#L`(-1@P@r1Ia?cF4vy$@614C7G9>ITC@Ot=B7ENP zLtUguyB*!R28s8H@G+E|@ANp)2|q>Rs#+p$kkR1Ex)Mxhi^q!^#@cS^qUF`cZiEtT zp9NEfPIxyPb>^vM#2sKE*d8%4e;v8PtSW0bY9n6Fr!w?!oLy!+Q!%P5^WpsQan0*U)#U3B1 zF%@L>c3#tN`2AHsKXqZk;h4GpfTzax3NmG$3m7ZgP*`I`|KQr z$%%j=20vSI?MYg8v z@breI^l1v1E$cM(T6;!eIzF~*eJ6&{+|=z4VSaZzRW8R#z}&r0M*sj#A?;ryV;kl= zh18)8FA3=?AcETj@Uf#?+QEfO?l#-?L%rME6~vaW!Eu7YDsuJA@eT5O3IzP93>HPIlQ0ZEuC1}s0mFQD)*BibbAygevC>r{Mj0B` zd}&vCw1WIvpwoUyXeyZVfCY=eBJ-$UWLo#}#ei+NE2^_>*9Np6v=0HpGYB)T-o*;mSg70qI?gU*cEoRB9{YC3aTXnZs(1#eZp* zZgU)ia^E?O;)1!9XSD+MD=6mvK%De>h$I z@+K1&Q;sQ2p`d>91EP;D!rXw;pEx2DD8zM0J;HAla z)(aumW@RlJIoG@~}=s;NnE{1d~iLNryq(2Yu~<@L@X8}`JU7BAV+_*X;c ze@z9+GAh4mug&}-q3o*{A;hq=3r_YJf}8+tZz={`s(YTC530yQlmN=ngh7v7+~!o| z5uy}Rk>RFx{4rFae{RjuJVCoPzMvl_&oX>J#{cLc5Drp%8fe8q=XNQ~zQ@vwh`Sxx z`AmQu{sdw~fXAsZuAsMblP4D{#%k?xI!ql{LM!b;;mxXN zCn?adv*UNKf3L6R94HAcD|K%O3{vhBpso03opJ6^**K6{r4yD2rm0M$VVp2mUqBj7 z;!{~>=*6Up%92YcVs2>q0PYDe`Q0Pl{(Ug}=;`NiF$wAf*=y&KTb}S-&}N&!KyMsx z4xf2NVFE>r_8DGfBM@2}7rBzTg4&jOuj*h(EUB3^`Ih;n=bk#S=JIG>I`Ga0ZtIUw z4Y~$`({07yj3NCX#O1O;y-`FXtPHu#`3#f(*b>?$kl^z$H@X0F9 zUmi!j@Wv(^P`RAiYR%)$hGtQi@oO>I6Ua;}h3zN4G^Wm5qkB< zQoB_o{3JXeROZqoOrzeb%<8TIYB28_&C68Ui(Ss)wGua|o6in#sr}yr&+PFN%1-g#mMHo!xNJ zb`b=F4LG(&SSY$j5Lt%D-2lKInc~~(QEE!6KwWUDwG%Uwi%TCP!yl{NkH>c%NalY- z(T6ZV+LXj&NPK4WkdV`(#A;kjQXN~Iu~cG4@Uk@1#Yf(_VIB;Jk}xQc(z!~9-brR4YA2&&M~;kB7_ z2OD?5+NZ>Oh{uv)Lv}7V8eRg^X#6$kbTqK7t5LRh0(rVYY>QrjlQ=#SFXAcn4?N%6 zk{<3zeB?xH^yQ>n4X#z9C3dlY$Ak{@E3_r;9Ze0v1jj50iW%bKuEa z+}FH2jHJ)r@d9v_&+*!0s+pR-+z+n`n-w0%bwL3shS+uvaOQ>>4|YI0FSQrNyO}Qy zyjtGY=$^{w%kNu|4~VZk8_9uv2}vI~uO+g&D+jr3L9^FH72$XJxfhad6OF%8&0>T6 zj)MNp%tu2T8n=CqH4qFdy+RF8*QO?$sSvV{3@C0(tUb(v)?)BX(rdz(@ zp}_B|l4SA7x7l}omjDVXIh2t{I&}U>MSM_0PoA^2gTtT>5~7);qiSfqu<`8 zvz<9%cc?3)s04&hvqDBmiO6qIyjNekA6{kIrxkxpVa@{w<^W*J;ZE1;rX2AH(QStG z>BYZx?5}(@QrK3=I(ymSiZM&}CHZ?9rh`XPB(733PBJj#0`sNFEQ@E~GdAHX=tfn^V z(5r~HyR=WiF`2H#_*~C?)R4puC-SH0n`6)|?$jdD9BU$HPaq9b+s$tf$G!Py2O?2N zMZ&xZe~9={sw_W=$Z zDiKqQ=~m63{jG!QoPBBX*!F3Q?X#CvJ|(Qg($=&3P0F#H6-kVJ7mnW4g)pDLguTr$ z0Ix~i^27TQImoY&P~;$#xhSUzniE7q4%h8`@ue|6X*(-G0^a{d=aa z_wOJ`Jvhh>^)@gMLVE~M5rjH0Y;8d}_5?xK9@8Yj>K>hYo5b%$Ta6kGiW#$gAI{Q8 z3-sZK2L!|+D1&>V(L2?{Xh8bfrZ1KqQsbRCi%TWtgv>@Aq}X|qCn`|ycTTbU;7iXN%l@Rt0*tq{+eA@(|gf%LTr>A-_2A zke_RF5mGqVmxtaznsWHic^o!Jfu>TDSQw7sQ%pyv6_x&Py1Q*?f0I_67Hv1RP==X4j<`p z-!6QAN;c#1;2_HAgAScqya zdTwsSG+KKVp5wDv=_EK;I=;CDub5H*%zW9$gD0(CqYbLYoEGV>TyVXP5+x zbT?~#N`;A}m`}+9sRMsRKy{?iE*NG)&c{3)o*5)@HtRIGXJdvET3g3? zrD6ig<7^)le%6;yr{XMr#ip^oR$Yp6V~;?xlM8iefH`6afsR(*}W zZ*BX={uw0Qnx2Q}vaErY)tDoTRa$XM?S<9o#RP?lYv{8)sLOKW$^4b<`DG5?3m=p5 zRdL?SrtEII0(IFdwmO=9i(Pyu;Z_bA?i@U-m6z|QyMOk0?%t|-49 zy`q5#7@FDq%#poRq7iNkL!CX0Q17?^S0%ZpTwO7d5;%dRJ=#Ve`l^LP>2?Qu)w7aB zpNi^-trOlG$0gK#;4w$JCi$O0OxB9kDPc3GGRd4AJ6`KFv z9*NPxVBdU@z_5ie52}2$bJPJ#gsasfxg-F@N38KGNdtdrodMoYxiMff3ZxGu!&}mU zr@V0v}>(& zOe;;DzldbrHlF92{Yd%dllVMMM*B(mno><4^=D(6wNlo>A3<+6muQ_EGI3H}O)qGq zND`L(MBR|7Jxzv7>tmSLCy>Z9F2EGN;18G-NQ~`BIVA5)?KvoiBw6ul1cc7qspH>} zE^IP2mo9kif78`s!|yW9hgkyy&km$^SO|Wp6UwGWdGpbnpz!7VxN3cP_2Z84byz1S zA?MGCGng^gy#%1Jn7HI0{W`P7zvwc2=(9uZLU?CFoF9~HOz7E-8SKV(N#~oTO9W?c;DkNl#WP3V0A7Af#XL_+Wte#Nm ztSdS8%mB73tc4m6F5ghn-dfak+1rMn5A*)y3mETJr=wPdKcP0;CiqORg1aRDXHdSP zGC#u539ZlB)*@WRRwoFV0=~;iak^5YduRqY;%m>?re;Ib(YyJu-MwFxjsoK z$#<$}43DPE8-3&nB|Zn7j%nQ~sszRQRXD@Jxmv+-2N)F_VV4scRL8t|3tUDfm+A?B7Gzc9aS!X6JuNXHjwKlOQdR`uM+ zjPAh4Dkk^pzXZE>qvt*nkwE84E4V>ii@;W1foKl>1DisUaurxlir1^7Ny2G-W*u8eClBE{SU!;@4D-GyP^V_u0j8{(IdZp*S$XU`+; z1k?}SB$@>1O1F_QU=Y3XCsC832Xo3T_f{6xHGj_j)0nRVp?*LeqPAIvN(nRQkO2o|mbTDdc-wj4RWC8fz_=h+U{5Q)_+yq>@KgVyh|!M~KJOWS z#Ik8l1+T!kk=+LlDj&#s+9qWrhMfKjcBVyh2nTG3Vo_7O-jj1Jy%EOD@JVXbT)8 zNX&%PRBal3pA-QdM+#kWt5i}ASGqHP<%VDB{H(qG9DLwMYrMBhVpn*rk<~$rqnJ@3 zyOZh6FxFf{CLG%3OQrWH_jSk@&0p!&nIY*fdVckBG^ohTMO;m<%=U`kv2iSsT zGn+6`yyVLgyZEtykK=b1@;LI+uf7$qxLLc+eEIek1Tvv#er(yyyp9pi?lV|axnpir zpl+3z-N0|A&keC!Um|hK@S4UU=?ui2>>fg6+R!+7LDnG{anLavLzfRCCfQV~)KPem z%`nZj(XYvYMdCYRzy0ga+rFjKleaPxR{jkgt0t!zltjnsP71P=DzqZvLyoK}Rm0kr z9LpMK_yfW&tn=8geA4+jqOClu!uVuxi6%cx8)$v<_ z+uY}e5yX{H#Wl$@%nw1AgzXbHaZ9o7ObFlYoK(@0m1o5d-nu`)kALV;HZqiBe(lnd zA1(P7*eBpF14_#;6@Ocb-0ju4&@`^PaBR}ee&~K?<3qK8Piet`8sLgE^UlKhZXxZe8*VlUWoAQr&KMW^d3 zR90=FufVaZoa>dbLAe;qO)u5%5pDPKv}|wYwxxJYuwL*hn)$%0(k@-*DU!>IiBdO( zXZ*LC_pJ>*;q!fMHNTB1JTw{o5tsJ3=NhnC3)yS1SZ$pZU#`s-*Eo_w(c-4y!d4-_ z!W+A~!bHSmBh3JzY0tjcP{JPsXmSD zhBxFS?w3~!y20vRV@};FE8EoUz|U>Yej=B~&hGT_f9DR!R!1KUsAy(zo?U9WC`_5$ zzL_l+I<{@LS3CmE&}AaOKzX6*!aRWBDo-?rBT$Bgu>sNBu-TTlq$fh*S1oGWvx9vX z?KRKKFAXT`ar+rDSC}~}#7TZ?>;RL$Ilb&)-g`wz$Sz7!iE5NT`Q)mwGQcHOLe*bWn82nQiVX?z)PL zKONNZzjNLJaVtKVxJ}8Xx~4f-k+(VSu0(giOFUmc&g3j6wOZiM8{n`uP!bnm2GT_7QuS)$YKtj-6=`VSHJ__NDpe_u|wyPGq?wzOe1C*TPo3Dgmi)z98v! zQbzOj!w6ul8+Q<=%Y<-Sm^aA9Jgb__xj9a=?LPe^{6SxnT3=YdZixV_)15r&vtJ*#@=^@GD|3pqLQwGz43+JXs@ zmwt_0a`HY#R|NOBxjn+Q1Vk+p_0+0EB8vE{es^k6tBiSn} z+o>oDA!LL@WmiJ79c6`(z0WZ+k`aXnC%deZosnZ7lD!G%nAzvZIGoY%)BXO&_ul*a zJ%0BOdz|`=RCaOJ2jKOCL7hUME!Fzw~13IA$Gi9 z(Vm>Bd1j}RpZzrJ#Qs#zuaehYr^eS(X|t7w$ci6kTR*!6Rm>OHUqGr8xPJ%qWCCg4 zmv42&Xp*uER{($i(`C8;D`xxOqtimQTgIdOAkrkEifBo?gG26kg1488;2nxsB+I>A zeiWS3RpsvQS(o}T__Ki^H_^UJWl)5a+Zbx5nVS8_MDR2*hDM8Tqir3ys<{{l8 z{Kghd)OO)nQv_{=DdSmxKzGsy*ESc8%zck@@5UQBO5Rp9x}iG~dzY2J84{1+rO;VX zhWQa~A$w8&&~q@kE&Gm9rib;n!=CneRC;h6lF%GX?$X=U^YY~~)dq~(mKL|iT5c^R z6T|{K6WO|3A-J9fq8ve|^T@KZyL7Yu_pBy&-Ojjohv_N>EUS6|3Vq3N2I$ZL1$h9) zXBsFOv4?EoyxD-+do9e0i;X+HH7~7;r!8=K_Piv0&@>*OX_u>4pzGkL?UdlWCeirl z%MNh7k%^>>lXXKJ8?7`rbYe?b5dn6zfbI&e%$`I!jI>Vq9ogKp84_2NwdplY*?F0- z^j`1EP_vD7E?~(b;ageoC{+&CTg01-Gnq)oVc%cNb&zlA*yv8n{C&Q)`ZvnZ7QBq| zHLXWq0T2)-H(hZS`r6|<#Hq|`=xqD>8vC%(9EU1FIsdNwo&bSosUec|;*0r!dW4^wrjlcZx**V4{0DTNBn;FJmH})vVQui(;LDi1@7BB`|IZBLS_hH7 z7oR=suUVvGdb%0E@H!6ZV8Cp!&dK1|m%e+kSEC-S-Ik z&1_;(vkPs)QBP>AvMs@5fIO>V5`>>5he&cwD4scs6MmUW5LC-a`gA@JL*$j+sB0k&dII|tS zt9Bd6o6|Znk!^fA5crZ>)RjZ-+tESguBQOJT}5gIZyL1-8{AQh^%LBDsO8KMVlX`~ zKOez;V_(8j%Vo8ArwYI!>!!OjG)vs!lNV1KS?*nD9oXzQ|b~fvnva{ zcyR1aWo_5n&e_tLzE3(Xs{21hC4X<=6-ZjVu@MELpZ!cWAaj7%0ZTdsM@FODn2g{d zUf4N_hi`ayJ%lQDF4wU{HU^2*HeAT5*00h@_hVGumkx(ii|q(3BY2-F<|>W0-XIio zqS$v;{g%l5D|0rISueA~29rIV!x$3a`Bv=$njDp^Tae7`S9hc|4grL~*3ox@Cs8L5 z)s@SGbIMs_65l>h6X~i~Y={9%JQ_oG4>k_{D@krZ&g+*`_)BKOm~Y%53SLBy0P+D==*|KMcyGLGp@#T5p zBH4!(+93wxbD1J-nA6G7*-#wl$*tufwX$jT`&OUNxtT&G3(^JsO1h+SM%BLVO%}$B zy`s*4m27*}sVQ9gT$&GBC{grHWr*}P?&vjs3o9uT2_1Vmp zFN|G23H4!kLwrDdiy@x6D=Xu5sKKX!GPexRrXWKQ)v>+Suar;NhcD=s+!$+>3oC=o zL!Xx1@41y8>bR5>u*CMtPnwsIVbM6LK+Iqht;T`Ce(XB*@k;bcU}L?tter(FQ_BYl zph9#08O2`Sbw2RC!c%&w6%$owmtD8OG6|8vcOQaN&~7~&@l|TyuC>%xi7>1@Z4+cO zdVCjKC?1iVC$#km7yI&XQ6Mnt9R^Z^(Al7AQsMQ?O{STbx5+p<4T1y~7lEBrec~U!$)EnyV_p58NNGpO$4&Ix zU+M{_b8V_-X;6;U{OQx@v{WY6qw|poep?R;MbvtWD({RjI%LjzWrf`^Y$l9Z#an>~ zrsCqSR8(}8%1C?M@EJOts?;|ZdGgM^l#NDWlY3Gkb-MRWS zIR51Aa-`~l_-Ns8jlt}1sEw9!POiUgGXEO*Ip=x}cp?7LC}a448fD%jjE-o4#YuO_ zDXjvbcL~Ymp^wKsLp6&-@8tNJR!=-%5Jl*oXY=;AYP{WXD{jxjeLeA&#ich1XQdB?AHJ0L z+B8<=63FxZq3|qZH<BzFXx?b{QA){!K`GH!-Yqfk5H~);K}imU~l~L+1;G$&r&B6f2_l3Y5)(?B05E{ z{~iyh%>8~v`0qV>0I&yl++${WvDqp-sTy{r#Lds;)6H-40a7oJ{tb_b=GSU(k4$QM zRHd<>{H|xx-}aV=5UzmR5%lv=S9KPANmnwXYw4t7mg!;j#!x`M>*J+isTEEG*X=n} z%}&4Obpk7Nh1eY60W=FNR#$%TEN+NX)mt)Tu{HdBJx!`^H~ssh4^#7N3tQ*MvgI;; z&R0|IR=IDs>Ss^ohh88zsnd~y@YxI01baw=UydR1nvL%;2wlvx$wW~_Gda>g`FDAXd#;)BivNx{BoQ;`ijmm(-9RrfR33h30n zw#jh!V_ad9mhY_xZu1c5p;-uaZ$}zyW7Pv?nd<7#x7=iTf_gOOP@^dFv`-M{xuh$a zbl1;Mtyjs>?(8mIj~U={=s{-k-Q{jqkJL%Of^m&Yu7_kQNGU#yk?7xw$=Ze1>Pf%T zA1rzJbw)CTU#{w1%tN>FzZ7t1HwjFb#n(p&LCH1zLd7!C-FC{n2Jvvb3vX_4Zajb~ zP=EX702%4Et{-l21AV4BEkP;_N2~1(xAc=yXBljanrgMPVLh*$y)G~06#W?Om^w2# zxfV3f>|OXHwZ&7Ua##`Wyf=;NhEu~tTozQ{9>oBGYT`!#vwI3_b`E(`(xdR<*Y5RQ z)yY&P?$n?CpBL}h)BU(1@6cDrsJNJ~$`Qc1v12&Psw{5-dVOrR0nG*4O=4sTbZv#K z@cKpSDKfu4nF$$=^88iswk?;=48*pRu|7r?+A3ke7i0*KuE1_b_-Z^d;-{XAuO8Kt zzh(PEgWK-_*Y>h;xoN$^vU;q-of3o!{Gp~|_9Zm=&W#_E>>Sk+9?QAoefJoBF2udv zF_V@bkuM)^G(8ZKxc+QZhUdE^)oQZx$Fm%>U7JG!+oz`3FxU9d@=xk@)b~AA;zl|8 zd%~`!NIoZaUE@U6fh>MM#=PVZ-x-Z^6TN%weze-PX0!lm_tEDeCGN#Qx%RBZB!zFc zI@S5AKbTHdesFk*+}xh$2CY#}`i0RJHSCUhn7w(E_Zu`A zv|J`9%>C%EB_TK;HDJJPEYz6#m$y$WQ3)O+@LB~aL=`xweQ zd|oL*r6J+EJ#14;>eCGgtztIMWkj>i1_umvCfByFM3BiH( zWBC7WKmPYn7AUk*znW|nBye`|00lq=6kVXbS?h#v_lTde$MZ`mhb(Fa)wB1u83L*A zU)>+-&#+IrA36ub6w?w%`uOg(#*ig&1m)b<#&J7?kn|)@Fq79&;#MuGTKsgb0tMF(meW=8j;e{h1&GIqLhJDM;D^BtDe8x<90T<&i zRfh^wE?_$K^MUZLDd8K#6d?jc2Y;{L{fPp(5+P`E-(yrhQ9y>;J3T-QvY@ar4kWX} zmEdPWm2qMnWO{-dcJZaE8Yc1VPd)$1V4HlayJNjGL5vqxrw{G3mvS)h7z#DP{Rt3_ zQg>L=MIH2iX!I;;7^_IP^{I09{$5%b` zgga9Uc`~t&T^ygPP-X3?a$*ug(3U+2EP`>Pfj_s#yZdQkAS0cbzv?ydm8xGrP6#=X z##_JLa0TZXS(nVWbtKG(tIxTKEdSX$y<`#&+T8uG+s0-~0~-&eB`{!t9iB2g+V?Z$ zu@R??jMv)_?5%3$KE4plS{|h4qJAdy?65i`;e{sK+fqXPrYyPSQ(AjU(}~fs`J)4& zA+cP#;rMC6B*Sk(@3Rfw0*Q8OR+>8tAxRHGJ#^Ryg=a%xzI9!}7q$4f!q=;S22!IG zfb|W%VOZSi)lJW~&erq6v&CwuH~x#~j@2SrJoW2|{QD)FLD8RKTce>5Ced9K>4#@S z{H&G?k*9al&^E1RJ~wLZ8-h>I9_VyUx!=z&(7EFO`GjhH+N^(3kfnoAp#SLg3x4n4 z$^W=gxHJ5Y-aNo%hZ1JITvZJUX`vs8%0A=2*9WxX9uUDmU**60W-it`oehrw$3C@- zdE>kW9HV09sX=p5sZYLkY9k9o$|aWq$%%RZlmeOVT-J$$JO2UAQZKE)QkBN*7*tn{ zOFx$+iGAK=;^Hw7xgEL{XnVu_j@WlrZRH+qn13>b^=~`6wl1wYByc9|LmU6-AJDB} ziV6g?b|MBi$dN6fp8LgqivY>vO=|W(AW7*SCDPW(@B7*Zdi(R%R9oM^0+dY?6WLEs z+Mq4xMDY;3z%}RJrc*gJYRCYs@@HtpHGs+ zS=I`d2nId_`q?FxUStH^^O?P4RiCwbaLr!P>~a`$Om*%hiM;YFLx&8W1Z5El!&N>g z0cES`s5aHcKLReQ(}rMH0eVuec_5=e?1|UmRX;Ns0nu=8ME*Ya+GzaptNNiAW_)5k z9CXMp<$9H#v)W8*BWij-f5Ph6_}ErS_aBK40r2At3M&v@M{0Ms6SnYYoc@4{;cNam0lHdsN=SeAXVBS_4PRdjVl0A9d+4w%tlqd_h3YR`co^HZ{ zBjW_p3>6ET&Tp}mt!09`MekQ;e}^-)t)YIDE_NB~-t3bvySni$xun8&(m83bU0wZ& z*F~d`o7Oxle?a+qb7KmzQ(3_kd}I82NI%4mGM0_|7ex_=ifM7gZpAd?c9A7>uKqV_ z9huXAYgcP`n>*^6nMCytN)!ubugBw%GvUbu07LW}MS6J3M)`n6`U%kl)Z|1AveMp% z0qwKxb`TfO$i?ctcF$;v3SoIE>`q53(CX!Uq*gdNYtv2a_dPP{<@_FD5kAA5_%(s0 zbqQs&bpoJcqP~*4$*i2pm8?fawl! zPF8Mf-Nl2D#{EA#vLOS0} zVZe>`uyr9`Mc<$!y`6u?`t8Am0azXhNMA>V19veIa+U-+3h|6b2r3}B0W~%}K8KZ# z;~LlywBIgqFqjF>ExCdkcrQMgi7O`s#aZul1z=c7pC z;Iz*9_;wkc9D1{WW_1!Kyp=BV3DV_|K4V5Ln2ldReLqonU2-dQ13faJM=l9YZ1nWV z$d$cXKCjtgmO}XkXNF_ypg4U*f?QEX1jLhVrsKECg)g<=@Mrzy-q7>yuTOiWDj##7 z%3&eG1Ua-nQ)!@BH-!%$ll4gE>nIZ2oDg|~^;c%>B~%yRVa4sWEA=f+xu+BDEZm(z zahO9Is|(5Y-TqLv)~f)7{#IG>H{4rvD}->T+=J~1K_3`-eEXfuueox^SgAQLJ~Ur( zvBGPc=*BIk;Y`XYo$+CXV*#}E_9cXrmfOG1HXzpH9{ zhPBQirH=>Kx>2!fo-+e~&rSLEH~Wjid4spXM0Zyex=w`Pq8qq6108Z~;O0)(gKk0q- zD@-9(3QdWAUz{PMiQ(B(mOc8Ds(q`Ea)!Ew2v7Htx?ylemzODjK$^N=k#ArSSTCIS zV3Eh==CQ5dSi!rEcmn@Boha1_veLF~CpQkVqb<8k7IX&V#gb8ERYSwARQgrRWs0q}-J;QIJsurVx^U6ci3OC@P8hB{e zP;y2SPwc_GNas!eyOvBo_A+(3`={2Lp6uyX`9U|>*%>S6wBXm&FBAN(XDnF_u?`8R zH>kLOYyIGN`NLk!=B{|;&<&YE&CmqlUqNbydw@Fj2Q*&04NMIw=J}GuOGhT405Tnp zisv3z3~&70h3+1qT~Gb6?!oxLA3}z~dbc3=D}9y%tFsJPUd1*GT~EOTuSIwje0Qc< z0C|nk!16y?_Hp<8#EJ^3ZH=lryUS@mxh&w-8%kQ9j{j{s>KW6!y^Taxii(Op{-Qdn ztK*oYAi1c)u(bhYc+CB*!H{!zP(`k>BS*VP=h1+#6kXp%zo#$sb7ie$v^e+K1|kUY zCGOk<6)j>WwO`Fwtn>VOCOWHEtJXCoJSED|(?XF>;t25((yxmZ*=a}+7y;qqooYsx4Yw|&;!m^9S zC3BwJ$D@&M3-^?8d#M#@mC4-xfxGLYn(co%L- zDR(a<_MX;kd&LIwIu4VQNNrX=_{qE%E6@G*7>4NFd~1jnTy=W%s@JwI77%_(k&+%1FK1KoAwE|DkTQnhn!vc5q^z+JV`T`YojfcX@LkilwUhtRXIap*o| z10c==>X|x28sPsBiChfva5KCt&NSWLqcpQd!-k;jkY~s^OGr40rdY3ZtHJopZ+-txH!$8nK8KylAzdv3S05cm4O_8Y1HpI%nKfHM~HpYA(Cstcf59TC04I0>y{&^K;ryk^~}xI zHljcB>$S z(6UzSL0R^-g}&YLuvl6z&(lr@lXuFFOg0sn%bx;4oLAeh!F_`5fE#=x~ zt62M}=PN0~^qt@mEYxZvmjUM#cg%i2f5Q`b5@X@<#Mr~ag7er|S?LZY z_<7K|Izqrr0+YR7$S?2YuGmKSNZVeB@^?lsDsEZD<2(c5M-?HTG8!HnIDDap4 z92sqS-{iqjFnF7acrBUG1DP2Tp`)CGupI%MT%p{1;dXDK>ae~sK9u9$OzS<6RhupN zc`&@IiSd*JW%!)5;5rbo!Vdi|MiN3_e0{`6&fyVTBQ(1$j%kmVoi-y^>oxTwjGF)8ifg=IMk(0A=Oe ziA>0)!7Fw`;wf}EWEV7ccAz|6rTBG)IXb`*PW}0DlDPwo`8nN<1=J6Kp=JzFN-xLY zKs+7Z>Usl=`BpB{KtrK2VptA6eSyT zV5CDxzt`C!dOwLa*)0sZt3qrU+yRY&oR{aj^i~^xGvW!->bbJ8%?}1~5YbZvhcra? z0h}urbH9BeBvTj!fP*<6uQT}U=?TZ0Z=Bze%#_^Xa#HA1)1{{kncAXxmaHp*fzKv} z<0&a`0nT0^N;(KVJnjyqBLc_Kr!fNXoU!pxl5@i${~@IQ)1qLKPkd^@^L1RF3}i5X z!c>Cu>0abV0RawQs1!Er=Gy8St|SsGC+V05@qxdQooMw!e?`&}hL(VN8oda%>p;Iy zct$*eOTj=p3T-Q0n{j*^dhcc*P;hT4-#d2xdgpzNeZni=7I+yLXKt7T6CxNAgJ7ds zo-bF!Aj^q6$k<_5PY;bz`G&Eh*oJrbqYQ}`AOC>*FDUvs4lMzfkkxfIts=dG5$ZZ6 zdoNX<=N_|+ww0s><*853j7Otb<$V|mi+E|kooKP(feH`^Q91~A5jzDdbd&tQ5KPk@ z;er{c>t%hglCIB%mz&UM{rlX{>Wku_ zZ;mEq=si`^?%63!NLZ$e8bwujtHL;195AC^3+ulrAuqe1{Ct!)USG9x?yfJPF!jR5 zt-Kk!XgK+@%i=w`dszR~(4( z$8dniM3Hg{f7qhp;A0TNQd@JI=Rzjy^c(8AE4Hl@6raF?Zp4-}-yJ5+g?CPQV1rGH zGe8H`qEcbsLDO;EV=eQNwCFL%jVlW$gP_x3GeBaa6&34?C$Uc& z(x|*z)lLK+2vjYnsu@~R($%?uzCgC|P%$saYrn=?DEHlAUE^mdsi7=`^}BOHy>uc< z$AV^^s9HpujYxa0+Se~5zJ1T17t@;7rzEsmh|vTbuV;gy8WO~}H;`#gfhw8qmackQ z70uU^^GFps)bH)QWYOA1AJP^F4tHUE<^+#^i_w|u?kRQ-CsX5R| zl^LIFH>!+kJugIty-;BrMGaF^=o^+Hw1nSBv;@t{v)cIk(P`^3bpaw$mk*=9)#$!Z zf4G?f8L3%Rpt#{C>1d!b$jI)V-Rt;?irkW;Nw4HC?3YJX<^hGNhIbB4j*RQmJCE$i zIprR-b8)I{dF|q71y#(u%H%6`EIR(KXa!@+mq%!MCrS7%4O)r;s>68wF?~DFtZ4P$PBuc57h;)eQ|zcc8^sy z#gJZZ=+eiNS2Via4{F>u<+3#3b_kqv=langxp;~fj4K6lgku)X$b*||t#uSD1kU3R zh{OdwasX7WA8ZsZpe_mTn-|*)SxCTFjO#8KUiU$i@Rce?SS3@zaM_A%5Ty8*b7zyvrDh(`{Ykps0X} zMOk})Kq6y?B%!OolTNfnvA3$iv1L;bLbu$VQ*ma73+KEhGZaW0$pjTc3d8x*T?D(j zs$2jy)~OLtif?40w?ZEQ?&4RV3C@#6CxETPGYVcu*CV87P9OE_&9j@^vd39Ax6R|M z@zFGmg7#NpI@S+u$HeIXFxA$n84j>~bPwU}_f%={Zr!%DGYUz$S zLQ!2al+ji%U`b-jnYqYuGRI`QIVD`eh1bu{|7SeKd9NL>V)^*Cx##!jeZUd>Z#G8S-|$oMfHbfe)MC(2L^2f^y!G+t5uTs! z>0Y30)0|{#W_>W9jO~J#E|S=nvGsMpfHH<4B3-CDUeu5lRYH;(?p}HRk#{xqr>>ds z9DWa4q!59Hvu(gQaYs>DcgC6LwfAp+XHg&ICRS;3x$%o1F_uCY7c1B|X#UNpwUUtG z04yJRBF+yCcK`}!Bfp2~{zGhkvC?;hWtc(}jB6O&4N%LQ9l>xz-9UpnbVs0at4uV* z|8cPV<1MZ^YsB`7oxX$TCed4?PvK-{$uoKpS0rEXS#zoXLo&<4Z27E%;>{uE5B<*l zY1Mk@k_GBdmr$NSmn09~1yzqmzeA1CmVMOEvT|kqakEg&@U@r79FX189#?jF-gtK#?-{;fjb_$NHjt(!(hjpDX@4 zA_QADbKrh^S_SnM&bj?n+wro2_SR~)prg5ed8iFufGVn2OjX1P3Gs6e3qa-Hz_7CR zObwc<-e1*LX#sC%nNE5K)~D%%P0&vL~!UGa=}ik%;o|W4uiip3$R0G zb=;~sJ2_e{5NY2#yHl_G`l{-6wj!9R)rrwuSK04k5coRVU*!5Wprv?8L4cQ`1RH8{ zN?Zbpl1-5KS z{f2bZvxt?a8X&`xuJGmZ`5EazZTnQy3G>_HhXF45apER#X66IKu?myNF0@Nuh3I(8*+e5E5_R;WyzEnhh0~rI z2iP%>Q+Y4MgoD?b*4^06+*h(e-G$WCho$*yCCokq(~%2WvmbM34o)XJM4H8j_evQS zrN01Wx~Wo5n|ob}Kj@yasHUgH$i~098&2w}=$2`gDF4l#xJx%xK^sK+hLQnW*3<>~ zofzterW5YZO+*XEDQBkJOeg;6$$Bb~Ic~o=S#%*OLbJ>tSN}t?KPmgsCz|h64C@gl zZPgMl3a?+&PE1Ik8`zs|@?;CNx5nQ@=KoTmO)GU%Su1)`AMBTwR@k7ZlCXm3{?>AB zf9PWG$)(4hp7+mxICKB~xw+cW{2J|)gqmA2rdps>i&s>SKzdI#9x{khWdYgsJ%R!N zxV~RW;=}>003hll?<`9=L7b|n$ zeDpjGNODDbJ#I6}Ehk+bgMt3~Alx{j(Fib2MV0(Peo;U^0P64!h3}r4ad#5Gdt=*x z_WABb0FR+DYwkx0d;&Nbi&t^)tm6X5t; zVn`KOoN@j!YpRE@I8u25SrOPuayxPZ*xd}0lNT5WVYuUtCbsaFOIfWMg|pL8dCHB% zio$g9j+*w@8toPhf-=3x4e_Ws(O{#ft!^`xr;m+HC)?V!4YjXd=r)uq{`_=)sSd)b z&P(~eSijy;1|$e}sN4BjW^5{tC^FW%3@bMTZWQ|N5T@o9s3#!q6|}lM-3TUC>Lm&L z^lbDpmziWR*FYucXanl|CPeU|wgw;4M|P=nx{UZtt6_4MydDwL_t~hS#@*@%MI7Y@ z@Hazu4vDuZQ}9~&4i7efoE<8MMeqk!wP=>OoFWpg56r)mvUg$9k$wHZVR6k${}1S@ z2y4p<|57c4pcqAARK#x02?gljowpm^mjw9oTdFcB90LXnUhHgqqAoK%2euj;h$6VR z(o@ZYg0%y5T__C<+&2EHOh0Q4$gIfiKIsme9ywyP-Kij$@9#xYI3`aaHhet_7<&-B*XGIa zD_4dvhcoN26&=G&kQ7S^bDfs9c`g?(Ua#;__@Hzp8mDc#ly&#XPg&%lJQ(LWMPhH9 zPA1raBQUgT7rz47_sX=|n<~$S*UTV&4MYKR!RwiyoGHNMS`&2aVUbPez42u77dQ@i z_#R47+@jFWzT_r{!)UUD=LX?N6+jv&_6%@Bf{-xueJa@piSYRWFoZTF6wU@fKf=AX(`?W$o zYchvBgT3C%{t9NZBsyadBu?bfA~}B-pxhN8UWN^$IGI4|WA50HMD;$OY;e6R#4#e4 zF3rD(N|haPSVk}*a=?1QXDSjA?Z}xB3|$A&rnFgJn!$V~K^pW#MEK{_C~oG?SvO|c zZf5G}yAMkXW^}l?1?7Vg>(N9Z;1mksb-)ymI}fJd&)Hr9Z1HfXA^H@-)D0N;ePD*| zJG<0~T%~Pyb0L%*Q+ebIu5dRZH5qVfFhIut3*awSQ_cJ=6dcJ zp0iCX8$L7k26|QF`qCFc4MFNBP0Kki?p60e+J?} zCTg7?$O;=6$XT_L0f42eA>iHXkRwF^9M~&BU;jIR+r|1{pm&@6Mn@6sPjZ^;m$RMu zP9M^I|2wHvA_E_gs49z5d6tW#RFbFWlt&IbDEFs+He}GkG|`9&}{-0`atR>NEA$r z;62oxOE*>CqM0|;mdJ^Y#hySzUReWs$}J(X(pnam{n;pUVa<%oc^Pys zc#8})!2`Kov$X}L%GUPZZfbOb9KxPa&jRg(RLQfDBX1MLi8uvN1mjVL$fL{$i4;5% z?@Z3>O+Jbx=Q=6z5?*6zPvKpEK){bP5>^z6=#$AW(+4jWsV)OkNj&}z5|tMhT*~>M z8~tA!{%efINbgugDDCV3m*U;S_1d2xg7?uE(l_LK4P!|Uy zy+P%}N=57tDNdackTfk|utkyoJCLz(wlRRuALJpOH(8>Kr(6nL>O68A_S^C4Y*KAH zo4;vw^A+FPxAfVL8^VTZ@Q}~5d+vpC9Dde(%9O{4L+2JNyX z7%DT-ddWPl{Q0E}qI$=}^dqp{xhwDZLxe_!CVO~St_n1ta|8o)_1uu7J9x8h^8;`q zn9cVwK*~{U(O43)$O#6etl z3&%97G+tBmt+_Q5YMJ)CUm`4S?Yj!Ut<{N zjTe29xt_JQv}AHds;On9lU{aCG8LA*0R%6h?vPhVk|Ml-pfZv_>E|wEjaKMNiQ69d zv?zWt^xNWdfJ!6c){5z+)T+Y?ZoGCh(Q@8RR^bPfxkXc<@<&xorZZ85skUL!E2rq< zTdyphhoQat>a^RBYoWrSEO8f{*q>Ib3tw+F158R9~3ZA`Yl# z{60&JkSSBAm9^=I4FeJKL5Q0av`d(4%tPKSg#J*A=SH#lsiSGC1%z>+HlES9iZPbe5XKXgl|LLs5NNrz4Z95K4|V`t9g$Un zTq4pwpA@7(0gwhY8W7ybV$IiI$#{VdYVfg)%OPbHZ_k#z$3H6|oFsq|&5ys9*zv#U zp8osCzZx2{^3mnL>b&}5NR%6fZ8j&45LFOPNBWz8`GT zv|at&)FgXlph;)eREC`Oh32Ywo768<*&Ze_7npNP&NO9-Q%lK*sfh-q_jF%=5x;%m zq4o8fks2$^%&b4{iyRdrNtZ8I7THmFa9idJ{p<4`&+}#H^|E(U{IPRCSBO(4S|Eq| zS4EJ8G2i{r1t6@?g8dq%56A-gRW(1seK9j4x7${PKH4j< zD{Wc5dw7;z6X>}RPf_Ifp+W5vj4&BPxaR()+ydT^Yq1p8YCr>aevu#TZ;b6Wq~|^* zH^PhH1{0(~;DS#Anzi$bZ(b6LTNSW)MI8|a_M}Mi|}2r&mK1_Xn6X-RZoQKMey-QHV^U#r#a$X*pQC{4KxnM{v1%- zln&HvygH!NDSnh|sG3Y8JP|{`48eT~6+@HJy+zP64S&eq9eY4K*+zwdW13qBu&?`HYJfQ zLN1W_6zBU_`mDp^x1x@G50bg3lampQA9e{vY~)58IKGKg2XNAdx7-56ga`r1%C;_U z5r*kD=t$CtXvBfM7=`NR9}vD2f(<1BlAMFUn320J^2;p2nc@-{aqaD zpBE?fPm9z0B`%~h84l4x{mZ(I{&mGD74eU3BX9x~%7}(?eFPt_|9$Dt0R1aTLKz+Z zF!^-Bh_FhqF@Bt*wO9E1CHU@LO ztzv=NPa|i2Pqa=~R)<;BPSryIwt+(e@bKuk;ontuQQLWeR)0VS>2Do|>eyEN{t7Mee$sVw7FxGl_dOv06O4-vE@+UBX2_6leJrakx zrDUHf63qA!z9v_QTZamomz~1Ih>$H6cN7{GVdg!5HU=UbXsL5cVAXU=k~cRKLp_R` z34*DGN~{ZCeSrGC?g#9+jAYSD5ASmz0Fq#z5sNz5bB1mLFA8}4{F4Gg1kaabz-5j8 z{okL5&c6r=>DS*0T{R!cy#N2-%m*G0Fj(`SrRx9vbpE?kJ%ay>I3Wjtu8#xgzX%oh zpQWknH!zNl%=4eEYzU2vjzY$B{!eiz!${8}mcgCPi{M{1vw?}CuZjG!i0YrLun|bP dA5gOJo$5i4jcB8pNXB7}<04(3+2 zW&nU>)DH~^O_g!heu{o>F$Vn|6e+fY(LN+!_YNIX4N$17tXoDS;3 zQ*D42jj;#PrJsa!*z`wj$ICqulL!^@1I;A*WE0D^1P$yVXbm-z(H%gr zkWhaA0e0i;6QB*#ZS< z{h0hw43xhD>}Af+0B2qPD11qI03!w{XCZo;p2?kv;KVYvu_OuCEd7&omm|M{kVPeu zrE9-|s6Hj8KNd8g>xAy{_MI93t=HI89Kug)q$ZahDn5A(d+*NUVx0k7CA>u<9Tgd> z33GrT+_QymoSH=s7pJmT1m2~~K80l{csX_g9xh}HaH^-IA=Vu)D~1l?LL5X3Mu)uT zY(Tsa?vhNzK`m-tC(gvKc?er6m0qJI3&^EOdjIk2O*tKFLiK1+2E!@sCtJhF#|~sn zJ#_p!@B$gROz1u~9Sfzh5lb&?ApyG~Sv=qPGEEeZ9w`ir`ljh$Ny@2hWssc1!)ahE z(~*c$Fa&Y8U?PI{+MngA>-FJCuNfma6pgclbQF(3@Ds)W5wkdhXN3%Lc$m_SKk^>9 z5Y5D?l9NW-Dj^%XQP{MLYKZ>VE7L@Sr!T&4BD5c`+D{C$sz?-uslE6p<)IJ;i2Xn~ zU?3DpBRL=yA#g$!G-R~_I; z*zp>03S-QzWJ&EEzb8oul6t#`DPF{xX3&#TLjj?$1#Up8*tMnth~w@Par; zi|BgEJC#%uA)F-y^<(1S@49CneSZ1esv}tK{Pm-H`2^_K5PY4&X*IDrX=uj^ z8v;{%on-~hMV!it6O7RU!*3@^)~(jHBGiq#0eJ*s)l?#{<_M1;mwBIhPp|cG@9W4q zp?E_xMrHLdYf9Ddj14H7eC}90agMtc9lV+i2A zCQn1dy;BZ)Ua-JH@0-Vf*SIEU&_H&m!25eIWvQOvK{E{C59#tj4mku&AeNW!MhN*n zI8TrOM9`5yNkkarep5_8Zru}PMz|@^dqE~ju*rVxdLUcy%|7~i3|xTQ9wjH(Ot4Ho z*cRY<@B9pOR*1n9;tdIuh=?^b{*-7W63jS)lL$YO0Xa03WL}auIkuRHSTuS{^q8b% zOxhHr5v@YlUm~8cED=VM#u>s&q9bW_i~?0eP04Nvu|-s(5T6nTPQ+wh$`U&(1icVu zuH=-v8F@QorLb&H<`k|O&M*GS2%yrBnE_><;ALn_i!3vs%ml@bL(@M|FI^386FB>d zy%PRtNZ>>)KbqOjr`uoPi90jsatqsEVuH zY3aqHlAy&y|~4q*Td_>)dS0eyj@}I4|WM2 zkxo^gI`<~`y7;vC82K*wAo*W2OgZe}BlV_^m|C%Bu^_S8u?mB*5e?x`;dJ=wESKl? z&mDtqpKf3sWF7CW4yXPLCg)&hX6H3?Rf|6P2^X8^35$89y;9$?{Agmwyn-a7$bvP;?tQC4e3I~_UZwDP>!L&P?GaZK1CxSV>qC^0 z*$i%3be5Q3@#{mEY)p($Y)M(RY*y@N94*XoEOgA<7H@sA*2hL^)~y@W^VO?cYrfU5 zviH{ao#?1A2r;fPE$An-J3880r!K zrW>}+Rt;iydUlI;lXi7$1@89l!kuyMH6)NEyb_V(s`2e+b=I?uvu$;)4jzV_p**Nu zOI%%;R{YFXN6|?q;{I-~Zd1-%*PafkE9Fc@(c)~e-dCm?< zhG)d~8pnUP(rmj`UkI;?uQJ$Q+atGOx7mBRJexj4KkMLc;49(F@Xq)k^Mdf6c;Y{P zoc=!kapKl@QPS4!QTlBEr*>Yw2&||r;rfQ@asSQs(dyppjr`sI*6yC=_V7*Zjqi@* z{^b47O~6E>ky-syy*?->xFj$B*#6(AM7PP-=oI!MYAH54N-NQ&QoCI{X_pZ#xBJwZn#mst zP0>i=b7CP<3TL&W5X!3ZpXCB0NTXtLGz8D2-l`8%r!Gtn8B|Q2COgC6L-aA-7#4UG zaW=6U33-?XXy5!qj7Da{n=eU+7ID3)<$08{)e6L9!xUGN=h=v?M$@4)QMD*B6gxjn z*(wYd!p7fHn#c*V3;Dx%ej73qaS?fW+*#O@wk2<-4@gx=p8am{vhkXI{rXt`un$Tw zEZMWFR5+hls%6bMf8a`;nt^3Kw)t$^Z9$4B6574 zC6SZP^=FoNwD5aO-_x8psrZ<3?Zz46KL1x5hf ze!4EL8!a8pXIE(3(Lr62zuxmj-@;PK^yF5WowmF#y|%wg*lx5Q4Vwmf?WHE0yV{lY z@pX*7OTko$?Y;K7_Sr_O+y2G*YIdDL&)0qU102T$$i%Z6rYdc%XKzyP?K%0k z99uRVN4ou2vsnA@r-Eabd_V4^i1u==3t7GWaJoL6 z&4J0C%T48D^VeSfz2w%+)86@eJ=7v+c6j#PC;EHkqw^r_F-C;p(?I_kZ+G)m`p$aq zF`2{L!M(@LYjX`_`T0igsq^cZjNpO)^6m8YcB?E@LE*j3kK9lHdH7gnaJ9bf8KMya zd`K5cqX(_eP)tPy$g>;e8U-0BfAAj5E%@Mrf+6x?idte%z-SmtNq-Aa9u#MbGs_Rv z=dVfkxxa$f+DPYHEg+ba6LG$$CFft8ibl)}Ve6DsP!;=gc(1IG)5Ra-d6q7*Vj|*u z?hA%e*Bg$DN6PN+3q_ilrj)sy9Dw>S4G91PLI!~RrGWmP03d7t*uQ81KpF_=ziDM4 z%75zs0{{_L0MLKyX#eg1IVAtKzvzGWAj#nXh`%G`zb!N$`2T1F(dL8vH(mKx1`tva zk&^n`tC%>Onc2HoI=C)(#xVY6Ksickxc~q#DE~AdK-N!8004N!N>$TUQ%;uK#KDf< z$kf5ujNa4E@gF+?UQh17q@9_o5uvA@t-TAkCm->@G`RoL|F9W|3ICuhSytt=}3Z}{I4AF-vYt0Ol9gNKI)y$1`ugR=z#6Bid3 z10yp7Gc(;^4LTPudsib*I(rwA|1$D_?1-AVm^fQGx>`Bd6aHh@$k@Tnm5-SCA4mUv z{8yZ2o>u?k$=>DPY5h%*;U5VD6Fnot{|)A9W&ZyI`$zI$uz%(CU+#GSF~+TEJ&V)@cMnFWR>PuT&Cu}oWJJf)TsJUF_g^n(` zGijlI#mL_c)`o?CNpD+k#12jWJFqgzaU-G1l z=4L%BVb9zl2a+`T&QX4m*+Hi#918r#I)|sYceA-4#G9E#00DK`icw8ti9}bJjeQ}D zgQ#Jc@8DhwY$OEc!s^(mpnw?n>sUFI!ROfUlltwz%oBQ?$qI-bRhmdxso|K2!Vt;m zpEM~s$=g5vT2|hhG7Q`mf*0u*H+w&z)Fy2UO3icw#moh_(H!RI0r{PVBa2MNb&fXq zSQM(2P7U+J%<`b~^Yx1r>S4!3?S? zw)%o#6DEC8f~t2YScu)H)q4!xNp@IA0ymyuCOaxLju$(it_ph{*56?zH{n3e12IG( zg%GmIvIuLG^IjewpUR9TltEEG zw0@T-fj2MQoy)jgP<{FFjit*6w-}4m2tWz7vYZJ=YnVELi|2Yq^@s%xS0>p?AcL>F zzQF$ao0u^S)DD!o_|rJ~OWJZwCE|H6SxXFo-wTMB-(6+~ui2~4#FVBkQcIDV{1yLPZS-?B}3Fh`t1kyH|lP$g<&$H+>kLR+u z1_iQfDsv(=mTaqbR6F$SpwYY8M_TV&wJAiB>uqwX@pu>WupboXqy^|BzziH`pfU%Q z<&0z10n$6x#`-^WHcy#Mly~bj)f_AOOJsZXN%6O&2lBOPs<=}Y#I$)}o6vGk6`{N` z)a`O~^{p0?5<5CItc)#x{3grtOw9+@ph42~G?sx#7VK14vCFNOrB>$&<+AXhgVfk%CY6SyLgGUiSk2<<~)5JVRzK(P^s&e3~Y^BD4li zgLDS>%WEMVSl*U0WVic))_tQ+JIKtSfQ8?Tjb!AbQ_)QLd(z#u<vw}% z3j)?jtn;)2-pvkmw-SH56UTK?>AlODc^}rwO*VH$_hdfxb7h?cNqb0RWeENtfkbb& zU+WaUGY8eOfofT9?4E&9(UYMxTs;q|BwYrk`dLSF(HsxO)Fa`7w>$CVzce7KrJIwn z=Ge%h5csQY!_V$&PDhenq4++l8#kIOVZWqlFPC2ykS3WD)f8ko|BO;4Wk$SNk`VA4 z+xSSiD>i=eOl|n&|@Wpx1<=jg5n)9Qnr}+7)qpPbyeY5ArS}?V|7%e!SSnF zf*5QPW65(JD;UIfu4D5Y4uBaA#7GITaT4$T6bce|00P49P;#+yMU8yKfqtT9j;*?t zCL7VIDfK_iVGV){!x&igrFCE5BKHSk=vZ*pLrY+DcEMA6v1(C9saavc1W>hq_sTRu z)fFO`EQ~})Ct8GL*wh+DgOcN-3|b(y>?1`5#})3y-8}V`Q}RD2a2u1<4alOWw$Yz+3WZ$r7@xN``L=K3ZPg zE#hM-xcF^Fg)?6x=Z@s~Bi2Nrx~*=L9r2SG<(ZJ019fiuX~J|eOg&NES3H>T*CD}c zO`Xp8FHU_&rw3~o-)Jx6Z0}2rcvsGdF@^I(wm$1cKO-s{c8C&B92H4i=R;)Vs6@P=)H_ z^_S`9k4b=I#P|52j_+I9gp#moaFNn zhe$DnL(H*QQJ+Dl+~1X%6<}ZLy$kAJD8ams7||p(l@>ca5jV_&Rc%WnqZlBTG5V`K zkbyh$>m0Q=xToe(gdNp|s`WLYoVYd$JI#p1UO+wkyb4?01aUg{u3(9^Z|jWBO2Xel ziS*{T$9abJWGRrAj%GnB)Rb{N9YM2D;^I+d9za(>hvES;X`Dg~+fJPRqVN>Rm-z|( zk)hdV)e8HFv4Vr*hZm7CfG~?B2K`_o8vdxA#9_>)03g3u5@s_H6NZeKw~Bdqx?rB4 zRA~vKYOxexUDC1eNXQ~VV@VjezQBqh9sBZG)|dl8I>mWVmv&@zlGSV03KnX_{(`z9 zNNarFCdD>iB8vSDU|_q^X1$d&a&z*R`8G7wA8MY@ zL6{A3TnP;eL%VaCud~Y87K6`P!W-Y8!y%#S*OQv-f*$p3Qc!Fo?@tW7i%=a6M`P?%9z1n~2K$NORw*N}2vKSmT%0a`w+6uX1C2Yvms* zsgp$tDV<_6E(fBi0G#26xZ^o(BQDgPEpP~Se>S8!d+TsK5h$0=msz<3BSih?EVDHO zbj0?Mn`|pJgif3eL3Ioy_$2f7k#WDUogj4-WvU+9EVy&mqO>1qw2hYGu!L?lZs5V5 zA1(=Q<6jkCbwSZR>|p?>6b7Jf8R7!%OaMg4Ix*;!AXKU475$^j2m&3LI#?F7;u0UE zH;gtEa!(&_ECL+E;fCZ9Br$fAdSgH5*C|sb5-5XWBQ-CgcpeMP=h&+0Vu{r$Dkmw4 zv|~z3=1i1uyok6ieC0Kk@=Fzod2DjjTPP+6LUB~65XRC#TOlxDk`<)mml2;L?A1_U zHwQG-fCnrK1TyhBqQK1y@aeGr{TNLmV#pGCkbM}t`AoLivHCGu{T!z+1)eB+SvH{& zS`5#$o_-ryV2|Vy1-^Zx}}V zaScPOaB~lJv%kT?S%E8Zip&-L0{8_&!j_U-STx7j`}~|?%34#Ll6$_`bRpK>cohb3 zG=rg^MI6kqwHwz)K9aq~FD_O*C}7AIBpf~nA=arm#wK*G!d@G`zJTl)@le#k@hC?l zDqdKH5!+ct0i{8xg>HD9?O-pu)<$(`Hb_{JY7CPSK^>kGwkCbOH8~JD?n-I+?PdCM zAa(Bo;9MM7jd2QZtvSHjmU@o)4}aMhiZ=)#4qEW{kKG9*I-O z`wV71M#5#pG3#c88nA+-MgE)ag(ZlO=@RD=N8kZyG*_4ljkP3I&e0S+|Dj*tfsvkr z2tcIj=vru(4Yz=EHz&i%w)Nr(trSPcw7J@Hg$44QWM1y?< za*#paNxi;)6>`|%F6ilCJ}QMezG>ENtKnNW%ztOvw5grw%+b&l93i&Jp^(@fgUK@v$c zzN=>_21TOVYNs}wlzUYaG$90BQZA+i4tz@%dD$cm!3PP3G<{WQwlwUA7jpx5vIKWE z!ETVB%<3UdD|JAca7FXWsxAWuw%9nG((35gDD7+UnKxzIi6|{X#!GuzWx*jT*kU=> zD~zN5K%#6c1SwGAyo9##({~0`Q9~%+|XtC zkWNm@N0Fh1WySa}1yw%Id5F>edubh&sDe(yiV@f=LGZCffW?B9T|vNtN)=DN=W7Er z9C}B#po8jmwiXaa-WEIp=rb_~YXZ}KIZS#Ain|r%G&Z!a;G`QAv}T$`1tK`V5Gv+8 z{C8zuW{O6fB6{{2N4zQFYNOHdvh8t@XZjong<~+CvowRmso$M7Ft`E`X-sEc&(R9z zM!=Y;2M=OAk;uVd0M?9udCZ0u498(Hb;gS#HtrI@+}pzl4N^xAp(K{?NvYac^@6*diF}OG98@U7s-_49UmiEO0;NOP zq*Y5Eymor5?QM@pCjtwU5{0Ui}_A;XyvdXyYlp*G`f&>rFy;Z zNL^RTp#9{Y=t(gVosxJ2+vQIsJ$rBevliUR0TT!Mb34#)CV z%5N_P8blC#+0&s2QE&^gmP_epa0{l;GX3&E+$vzk`#$vZ8))7U=2lqG%g}?_4Cn$8 z1lUaoqjIQ>znca;k#iNCD+zJBB^{^kPE~q8hArsUvzx?8!N#Ledh4r0ZH{KKCN@tRaPYQ`tu`Is9m4^TzV!oP`Z~8~MLDb!9>CAK(Zl z#S~Ph53O240@j8z9NTg}$2>i;z4p?L^_+E%=x;IQU*IF=@ih2x&9p+g>Tl;svfiWP zL=Z(MK*kQ%28tl2kfZI*XZvC>Kv>w}SRe(zm5X4ZXM_lz!P3f2 zgpW$!rTIQEyI^8XBoVG`!F|sHy@WJ88gl5REp6#y(aQ@^{LN;myhG5KbZF;kWiw3P~Lg!!-HmRbkG36Tt%hqvCPXd883`g9*z}|L8lMEVis5w z1g;`tMa1|R%0k(lk0P6Yr|Fa;4N4U2lhw|tNezs{|M@LS?LGwgY?l%E#KK*ql4h52 zb2wz1f8`Qu+I2u3Q9i!-$ygbeC8*Y z)o`?iawor)aSfv?*vEX4MA_}(D3f+3`4JP{jZ^QRR1}6-6_TBgUxu)%5hvA&{a~X~ zN~4mg!58p7qY_XIt}r|Y?jARD@88No2GJUtOGZF$>DdeZHzc*cytKOZ$nNKEOQ z`)v$?pm1@Fqdf(euzkZQgEZ~ zRgl_)yFzy0_2Xoook75-WO?^@qYF zlCC{khohDQ0b{mm$>z$7yF0a^HBoUf-C4+Z{;C$Z^Fp!>XxjYvBl8_qFkC~SuM-et zXE9i*r6D^8@cC-H$t5xi9=-3|u5StcKi$0#aTXoP3?KFmEuObr6u9qW7Z)YsxusiM zvOP{kS%;&*zb|CXd46T|W@CSF9Z?nt!3-@(NpcM5#+00?N4+b8^rO0`FvCQ0S;>7FVY**~0bc&?^st`@6MNXbA}WvaRQ;*wLy7DZ?743M*@|=E zw6dz5Izxw*lP~x%mlGS}sE?hVhGnvZMUxBimc)^hxNX{lCX95ut5Lb{9pv(kj|RxK zvbjQ54#}9MAq1%b8$AX9oOv=)>B*?o{Akwf6Bcq_nL=4{%NZwNSc?wk|B@NOdr8yZ zbqXAB+s3Bl%=Z!PUny04DA(@z9J-ivH=hu|d)2dfZFPGveD=UHBUvO7$NW<&dU`UA zPGOe8Q-8daAr7xoUE3nZ%@=E}s*X9U*RY^R-qKUsW=i`@bmT(}#>~J6yJ+_J-EF14 z-f9#;l? z|0wO*3DT#)M+S`wMuX?w_z{VxLCQ-ud$uVnL-EtG@Te=<|EVcvp;!uKu^<93t992O z;;gauv)#Fbf3qruIlVO}N4l@kM=;OpH&gc4wSPYk>B@rJ0BZBDZNcTgQSCZnrkZF! z4uBwtFd!_<<&qiEc@wQ!8HK|ZuFSiz=G%p^SiurCRQPQO6&xv;Z`l|OGdpe06QT;z z5NYvsxz%hXR3WIE%?ZK{Sh`M?VwdMh&2#;b%Kag96EqB^2_jDofoV?BBBKcv6ieBG zpsa29Cwb&APwLS|eo9GM<5LiI(ycNpVU6CfS;+=e9jf0V(y({qC z7>(vEobbQ@YIZ$qeE3o4%O;n>NaXkBlDA4-OQ+}94|cY>`=*}7uZ#NPAKgsQR=b?;`#*)%TAq5_fQqCr-%!@g$I%K%N zUJe&Jq}6FOY9%>z14KH0uju@!#wZ?7;b_v^v}?1|ZuV5lVmds3xVubWl)szJ-u*B? zW2EJKpK3aL!*Q51JC1ICzwRvKxbAYv5(p6LxPH4>%<F{9R*kFdC_qyi~|N2t;b-*4FMWcfMxuwFX)naLxiGZK2_d}Az2OUB6 z=?vHN7VF9H^u^K?@{-N3hcefAtlEybF7u zSCoaV{+Qu9*?MRw;rqtH_}vntdE@L23acvs?)D{7mXWGQK=55%+( zcYsI<3mi3PNmza?gCG?ZSQr-ziBC*At27BS5Dr_ECGjB2GcyKC|8t_)4Hla&w(uNK z>x7L-u8OjeEGRpHBYP+(k$A8t>7fJAREot}?*9{{m}0IMqW6Fnd-F?sVfe*a@(^6i zuzxdqnfvaBe0VnU7qQ!fshh$gPNlW(4UXzl1oqb@&OqRKuK{!SfU!tcXX_iuo>C-B zhZSFS5~?N=8wNepp4<)6lK7CRJnqRlQA))a{M}+Y>&Fb| zp{;>3p6?iu)i%^c>F>I(GW`ER9-kPWkRy@f8X`(|w4DZd-CillZYNcY3ZeG=}ExUT9{ zblQwOf2y44Z4Uvmk~&HwIVqj7T#|TCgN~COpT$}SZ=v8Hj&u{CWw~-{7w3Lt=YlkVdu@+dPbGx)zhV$wJ$g)Fz&>K(cdN3|4 zNNhx+yN1zw=b_@Isx0pko)1bBN&L7N_4&mdZ)YqH9z(?d7D#6IQnoFJ;23y(c16bH zR4yP1ho)zpQo}pyREU0@yXuHH;;0cp6%9ED$wG6nso56s#rl4S8_3G6DHMSRQMebE zhf2bW5jTDiO^gdt{>G}YIv*l?YD=sqsqiG{O}IyCeveg0c`4=zT6_U=E}X%J*O}7B zn>C8Cgxa<~3zKa}Hz4sm$>@%u1rBRWwUlXvMi%%t8ttZ!lT8aF7<>@%yZaJs09Vmk z`VR@YdrDnSJ)j0%>+>>A3{RB=Ar}gi0o>3?n7N40AL*?94js26tbQA9n%!zc;VWzTOEWFKRn$M^tmDFTIqAuYbFcQ6|^;5p$;dmN-;+?&hma|M7q3 zp&+5Jao?~iduUWQOKmV2^)eGl#^&=*wW`U!e0)JKy;G5ZsABEmuTY~Y)oAwiA--z* z)3cLe0xQ{^8U}-5)l&7NQGLYcy2WGT8g8>J0^fTrJ+WGP_cQmoRHfIevd6wl<=u{C z0lfpX>-9K$aaG|JVK+5{$HRZaZk5lMrL@|ZW7ij^Mw@3rzhk_ih`s=e!}e0+r%Kyr zY{KXw2$|egy?oaXO=>`o>$U|pKg$VN3;6KrS2R-IV@Ndkw{yLwqdE&s$kl@*pEl}B zB`a60ppawWu@qcKB^y1oF%TgLl61|QiZ|#@a-L=>1LTsTr;NaKiY)3!UsNCfDccQ@ zAw#;q2ZDb~AS{xu2tqJNgwKtLi%yFfkgFM1k^m%TtS5^>H#R*BKlWigBtGoy%<{3g zL{ZVYJq6lUU)|&e9IlYs{y5qjGm?#T!gD&`PlqSP?R!uABm%r3O8 z8mYfh^EFA|P5ZgOVY6^ck(-f5XHY7WF0pXA-qhm7Pu^XqwltNgz-iU(biz@Rx$&)4 z8Z|Lt-m0#b`nbB;7j^s_LtmCa;N0Xa!$cqlonA9Bp6`j%K;D_-CYq*Ja+mut`|P>5 zS37%av&`W0JY9QPw|Z!PA8iMQ0kp0`n=grQG8!i2*GCa<>1S12@70x60^ie|^qtJ(3CMcAu=hBuzmJ&{H7V5K#PYz_y1?(6o$<__J=o{C9x zQ`&_Rda3ku1@VE$bldYW{T;ftt-?|9R!N@gW)NH6o~cFU;#}~lZ?Gd0r*+*2F-m6T zvc0#-2UH+1FcOnFG2{F<*C`?k&B!TK*9C@-JK-iz)&2_l$x?!>48%-Y9BM3hQ`172 zew||0+c8F#se_tK#eIpK090XvnLc^S2h&etqQSgrttxz1*<40Ya3TX)lV5g;rlOg!^5<}3giT?0(_sY(8>~Lp^s~1IU5+2vznrg9)T;OeY00Tw_rYq7p(lyFkMlTvQ+lDIoap ze*4GEr5i(q1%(Zi*z4jqy!49D`UeA^jHMa$BG&k79apWJ3l<8TJCv6Sx7PG3ZWeKq z#VRdY{txqc(gg3PN>*35HW%=lrDw&n0qP!T0!ghq-Zlo|LT$dU&U#OAPyRDC9J{G2 zi={l{9U?v^sh!syG<+|6A!m~YUxk~KEk=HiY^%ixzI%T54vlA|yIY!-LsI?Ud|MBS zuY&}&_s3t;9NU-d37NW{`(bCmcE^7j-gA3&MOXZuxBqkx{Jj;?}VcrCV=~<< z`F~y*lxBZ<%4F3oQ-SeM{uujq-ppHwa9uQRrmrFJd1!FWkq0H4zA4o8e>H2Y z+D%WTm_$g|^Do(tHHt!tD9e957{d(smZQocoC}?30=q;-Y;q-IEW%8NRFRYb~v@^EMSS-rL9l;fL6IN z^RZ`t6T!LXFl#>S?ym!yhHX^XYTVW0JM(Fkq(vTJQQKi?5_}CWrZT1fEopsv(PWgu zzvX26VHo~FkN-1ckII3^senTV)*lj?{3Z!jf2WGtgp7#S5E}T7SZHLeNFrzG?Ac&Y z_&}XB|5rrc>^TlRIrpufL+b2L*JJ|3-=)A5BX@o#tGkZf?1d-RMc$^|FLNS`4lUT7 z7c)GIQ)T9*EVEw~PkydgB{IDBh(CS4z^o32qE=$!uI_)xuASnunX7UiC5k+r3MqK& z;yf&t$}Re@sGT`ovDDO<_Jic)aUU<9^@YGM>93-v^-j)o7Jt8taJZ&B|8)5NeqZge zH_^Op5O^47@RRabColfvd%|-T`P{=`*Ydc;s*rt88sBz#k6r_9QEFgmU`?=JNKmD( zZub))ex?*RoAcmhkOhoWn+<)Ly`KOR;9tP;>ap<}cNRTa=g53azpq5_Ue16*aTea! zxqE-j>NRm}RaMh^hhcWzHEHi(YZC&;AzOBCf4qJ-8EaE3BjJDg*)i$WWk&3=>-Q{W z@zX2Kf&N2rv?ALf&A$={^zR#1nW==cIWA7gubsf!iWTRJYKh9Acq8wloaPdsB?aRK zFHQa#KvENdQa`FIt7PS-XbO#Ma5)EX4`qJe)H1SVJ`a-D!4X=T6v?Y&c-R&%E0FIo zhJnMKG{cMM!JtIQpmf~025C6^{9UIfr;!!~IW#rlZCpxu(Q%pG8kk?_LD&UGC@uDV{pl}-VY3r)BD|o!MZ_pKAJN(xQK}F zsqI30pY{GoYfNP%J*{4OBttjOCyWP7P?Z%E_<#GHIoaVl^t>ML!2VenO!KT&LCHC( zZZ8TWehPTvdsLR(SlcP<%&T&xhzk{JJmxvMiTM*bu}UgdepBX0BH%b4Ior;J2*vX> zrP<$gGjMO^!sqY!c4lFXc5gH8cRCSWKJS}YF~+;kWnVX zhaaZp@cD?VvV~`^7ESlSFjs`2>z#njMQzu<58eY5*u6I6+saZDR%u;X#+3)^I@j5Y zlQLO=d`i~=CzZh?c|*u&JwQWFg@y({JryR6xlc4=_l|pI%^~M)Wv5?It%sr5Tlu^fq=aG^=i5mtn${7 z(;$>S2SN9Oo0Xhw*~Ltyj|e|Lc9)Yey#53pn?I@zt#f@@mQlCy6JPKV3Ow@zNl>@q zR3XyaX(gYoWxoW2R~i`TTD}{Mb_`JDmNY{>+ddXr^F=upRI5zOBt*G|#PeI=Tg6AH z0YgPzu^R9z)@Kyxz3gmL{`p>$RO8Z61gF;sC%x-5O7J})+Vmv`ZXQJJf!OPwgv=y$ zZw^BLvS1$}8U0&@5Hgt5e@w*seD-xr)3jsHnEJ+?1llvw<%0rLDM)sN=st8lt2It-lI^Cws~duDTky#G}15zmjyn)Qeb^KzQF zwqxIgK={g*t-ApceWR8(OS2NG(d}f&r4i96A>hBA<9&AML;g8TiECqY&1-X@GcNeildbe7Z@vLYs`E`|`0^d%N(A=nevG`dR zdcJYA1g1LUl*ee$raOvk()(!0EV_k(MFA{)RTkGpEjD-cS^G@j!BN5oV)VU0TFAgX zBk|7R$1KjIc&@*XNkrh_hYJE_UD09v%}Ol!rf7U26im^YMD6@?Y#U|Y{2)+vVq+X9 zR+sloj3N1fSFa%k^q8Qla)oD~zndDibR~&z_LV2zkVTZsNx2WrcjBQQ*H^ibi>f;$ zUrr6V4#KY1jwV@d8Qhz^AL5|*0}Z+!b_zI{JsT*(a5pRX)ouDj)MM+iN-2(E zG%Wi}z(5_Yla&L#;G@YMqhdX0U?6?x8!l@KsSPmnE%o}>4?-NM;)#feBO2hpl7?af z6-Y&@)ds8|BE6gAp0Rf}HX__kBM@9KRES_v@GB??5&HqsEtIF_+ESXB3yAPP^#i>t znob8DUoPPsUe2o_463X$VB3BoN0tb{66I;uCDwY}Hd$I@LCC4nDL5DDcxf>_dLai& zMBIwedA931QWVzz{WkBj7bZX8%2>eT9D>j_pny$_c`Payu!0&I8-m*%s__uqQ_o!O z$Z#nKP@W5=w_kC6NE4P_>l{0p9jA9~N|=*IyCKFpO;$`PM8$_EyLp3nF|!Je1r4{A z6Z9?16FQ)cK9s(DZjkDI3DSrwBT;>M>qki7a(;9+gz1%~eqZ^r^IY*JVK{bpk&$Mn zpm7}$_dyf*75ddTm}eb>;FgT$osSWPU{y_@;rQS)sLd-mRTA3EHJ`*vEab(I9#t`< zr*0^#dI>yAA$!yZvHoG!3DcWMM5kmB*3Ej}E_7;?z9A2=ejJaWdmE;Dh$Ma$KK{*R zol15p#k4Kx<_mDvNck}aS!8Z4gBr#Kp7Kz1O#Vb_rb{E2r5XA(^e*!YK$zk#9XRa5*@F10@pLLS4%O7)9&swoE_ zRXHhHy$_NSO;cpTN52K}Hyhb&1s*y91HG5S~Cyu&!F27^)a{u2Z>)Um*& zW5TZu@;Y_U`=exHc*@rVrhL{Yd+C)z3HVRp$iPtppu_D(GmaFv_D}f6?aCtKAQ>^0 z@^O$-U3$g0$0Jsg`GRiwcL~WSJRrFbL3sS2NmC6rCkE`6UvI&*MPelQ zr3LBVG}l%_>oLefBUPm(=yJYge1dG-P`2z0EU!-Hlj#pQc~?M764!+8xZnjTi4hS= zh)B>RD6K&KKLCnAb-yR`Ll0b@38p_AENnJ*9k8}YEHs$qfj2MVGkr-;IKf4X;pD*( zEx}kW2dy81O^eS-kl-k330@w0q#ImZNX@^vVq&#~hf~qje}ebR5wTK07SIR)1Ql_x z3SS6XcEb0m?xvGfRY*2We7Jn{IBHz&W6^s;fvdkr{AMg4XTF+vtRx%@gqi+qlg1r< zWS}F70-do>ONpA76G%`PkNhL-z-3HILJE_ta2W?05(C@dYt{5dK^FAr1A@5n&&nWU z_~?XATnN|x;wb#M+=K_%62iaw@Q+J50?U`Cwlnhr2mHD)x#6u#ETG_qUV#T!x4|K) zQ3OP%5Ctr+oZP85F^aLzJR}3$R%ITJgXEhADNzcYZMH@MXI_;+FF2tve#tyJ7DoAK zKMKmcrmQYIBmTWZ6GJZGeTHMw5{UMr5m2{Lth@pcVU-ofBXK4OLr?@K66^|6U1XY# zl9Y=YzzIwK_-7C*PPaM+e<-4kE({;?tcTt>h79zC0S*wp>M_C8mONI7zN`fv9lqWM zJywq~!F=`;Jrk}ae>{af860dob!1?&#uBBvW!m+KW7`{bcLZh6OoqX^$ii!t% z#fpVMXN;n+tT>DZJMpo9vMu12zT!vpvi*!Fe6h=ZRKwyLG-jFQXD?Ys;c0p5uklb+ zrRYsPdZ|PWJ8F7^8S{`?j@Shb6h-fH6v(9{tC|=5t$&WmEDz+uHwcmETGKITqd)rW zbiEaS8d}Z(nZ99MrfGt&rf=5IN;VM=#mk&t)4?CjsWBxyn1vQ79%oL$Ef9D(m3M;7 zju8~yIK#x`i?gqT#HTrVRsUR8kPHZRII`hsn*{qb&?$0Ovok_RXahqPck2x?^&c2P z$H~CyUd&hpkcdmMJ_M=_kxxj{`f8JY1cRnFTw;O22c`9h0(ZmktyU@ICMGF${Opx= zkcmjN5bMPVmdQ+xW2f#^K&M-fu30$wj)BsKydNlAgyqP+WiK6IL zDYA-#aYB3prZ%xTs0?Wi>U%8>)Bh7pGAa9pjF&D6aX)8(*iiJ3mn!f=Zy|AZkYY?! z{Oe>u1@D_lXl;iG?f?YD0E>x(T1N-1WSAG-Ik>R`O%43ZFO?P!FC8&6SqgF{Z+aXGuSpM10TJIKB}nZ zn+bxu*eBEx1SAiACcxozm{Sfix-v!RYce2>0v7^0{MrceETn;$YYa=>m&XZDSsVGN z+;lLya4jEyF%ICX_#K(5<45jp@r7^rwVzFDop{&-;zw%Zw!K!)EKNmIE`bOx_;7+? zRm-5ZiXQHm58M-#F-K|nhmQo&@6X?fCbkt~eBnijBkw_nxya(b#0S0b21F@GH`M79 zt2lv&MwCbz;isqzXu_a&j0r$rNjmhvLk93JUOKu86#Wb~PT^UOVicYE-9Avg?x(I- zW|6T5AKX`js8RN6;Wdb#7=^Wi>4W=VJqBYG>92+}nBxC*3p>!=V=U&rB*ajX79CzH z<4jH)IHR6iK>~=mhhrU*Iud-oU8`h(hkY5L;Ka=K0F9XGUbwye$7SS;s*#Wfyt}p zp*s3n2fcg6NkfGR$mL`yS(PSTfe2auzRVI~ojo}utj zHFrrrfdzuAtI@4i6M(v8m4PRLFt)bVCnNkKUvX)SPEKy(COmcfQjkmfc1~uZA_*ZE zdY&yJ5h9;S>*Qx~N>WNfBscdH6QU8Si}j9bVufsaZk4+uXua}NyKFSm#>Tj8FE?2r z33~dBU^(I_c9rg~l~QQ&R`@gUsmGs46sP6H>}Q_D+wIJxk+6^{8N{6a9SO(U1Kwu_cpWU^Ac1P+q{9I1yw}NH5_Dqz|vQYjOxj z@_5>tSOd-*$;c};A^Z6;_1Rg-Qr+pv^=Pv%V?T(Vq=w{%uAv|FD5Sioi9<_m{X1DI zTYBON;3Y3Ua0x5nV1D=zdb)Yji@!P;(ZgM;*d|(8M|z}Nk&7YtkwT3>>#bzuvA_pf z2`fd2j4{u0NEBj)pPfXQ)W{+pL<39Xs$yG(95Tg^lZ2IzgQdHTZoGgCTReTE1Ada@ z$0)M=;)l2*D1Od3Q|1HdHd>hZy_{^Zd!^t*QM)Sv{4>w4QTp4778oq?oNa847CE;Nw0SJ$F zJ%q>v^&dETc~6LJ0bj*u@MpgxoNmY4c=6`QAh^OIR}2mvVdFC&6ApY8zH*rWClL{& zaNutQ{23c2 zE5jcrEj@&pWnj0z0hMv*o;p~n`vjvt5`FkI?1?_C0>2jGYK#raMPH0T2(pheI{vkf z;jci%pUCiuOyU_CsGv8l>SatEufQ{}T0arkgxurN%}5P-p^FsE)ZyQ{QRLvF?FEC!ROL^`CUpC*J|-SL3xp$hVV+j15h6aL{E5mLr(86hZ`E_KR>liFE|Q zb?bm$YU{6DYBAxw^3##Y3IcxO63Y`IPZDT6Ipx17vcb`rn^q*`*=?c+m9Rx(bi*Zz zbuhhZ=%&>km&H7!V1EhIlp>7Fy}*N4negU{K^YfWZdw{_7*{0?9W~n>6L5`{mp}qr zAF+T74eOHY?2~dn@yg1UgbwgaI1uHQP5B;U7`H2Ihkq z{+DfO>!1z?4@~x@>T;qQaW;xU<`eJq$8Bs)Rt=~FG)5(8JMIAy0TJ(N58%Vk2`97 z86N(jzaAB{j39?Pl$KtCTNWVKjc6PFrlz{`OWeR?V03f>Qa|SK(&z{r^w3a(HngC( zLtj0@;|*N?`6+GCRgd@)w)_&w3SY+xq*co$e^0yY;dwY0q1x57RgV>xXnA}>ApF5z zBn5u4*BJWYSL-EX*eCUekwK)*QN^R0dDE`rOuQVJ5+42!-=j=zLlD?bPN=qreOZ;* ziT#*nDJjG9dq6@2t zR`%FPNY*<7(UZa;=rk#qlxjPGWt$=qbibHJp8W92exk=bO+!LQS2YCZ%krSLL6Ykg zlaBCbGSSBbjX=)&Xkv!Me4+r^OBINs7=&*m$Fgt=B_B$IiE3CO)zP-uPGQl8j5j8x z9S<@!l8SWW(inKL$zv=68(~7zhhKsx&JT3@Gb%^Mo^6|Z+5iAR07*naR1U}`)LRJn z!90!X1cqztxKckFA;fbWWj!XGD05%d4Zgu1eM5#9b@c*2jJ!cy<^n+hJK|#VxY8i%rXth_^zYMkog#z(MxCO z+JFK7?_1h9OSKHd)5vV1GDtD`!qD<;OusIreCd({v<@ISuQ)d*rktTcrFd}5kO_~^ za&aj#ps$@}unHZxb>I?w=wZIa%`xE65I_zb>I|ZR1XFHu5)_Vie!)>e8~QoR)8)CP z)sAU(0D*w>B_N4SFnrKTz)~Q}c9>Ug75(XTf;U`mPRNjP_f)-1Sima8mh}_NL9TwM z1|c}O5daB)$jyYv8VWPRrZ?!B+@x=Gh81?e0$!Pw;h>Pe3Nh+vsuh5_Qa9mS8)Be}N7KBM6r#)CeR9(7ph-CHEN zu1<|NFat6aURKLAj%6Fvz@dh%6k9o@AGsy=j0B!_Xb3`P!3n524bo)@+rsL<Xg#rqfhZ!AE(i`3$WLaw&Eb|2)rVo0v z)K{k$b!l#@+ocXLY|$Sv?NHY$jsl*8+5O_L50%@Ta&-~|r)!4rr|J^~9$D%7x_2_{~?ynzmXiAHZ68C#mcB4D&?-tY$_ z>*l5@#M6^ll=Qh@^nnn3S(TZ2RxnF3f4H*AFS>Xl9@(tIxmh9~sa~iu%lZ)FunxK5 z@30fSv8UL9<|q&^zl(2d0fZLzxjBmtcKHNjwV|{DvejH7&gdhNg?=TT1&x6>jrnE+ zI6y<8p20P5cdX&fbb2e2;8FM#boy#oGxG*Qt`(`Jqoyi=|MEvCEDtWKN`Ehh9ncCE zjUHb{#@-8;Q4S^rLu|@2%^2JW4i5o=pg&Jh1u_9g066+|;7*dAD>!uidJ1s#3b|4s z4~|_c9Et}X->U6ka)63PdU94T`wd*ynirGAl)ND;ez_Rlz`c6P{q@So1X-T?kO^=2 z)m^Rxzvc~agOzy9KjX(rRXXZ2(0Yp}Ymwk*3doNd5Ql1t19m2swSeEEw`P6p)4tg0%a zF^c*efPlhQc)-s`W9B#dS@QC)7y6s|i3&uIqkSE^gVtQ| zi)ovI|DYbS%>7j{?G`XZ5K=HCiuEe>0{m}!j8C-4N;^}d#xkD(^XI`BJ-rw(3X|Js zAl2jY0O#m20PeggNzfUC#+@%s9+44R@j>JG-fvF~a}z(34f{Hh7gH@d>}q7I6nr!& zLqKpf7o!STz+BewSCSyf18Q{kYQhsi*dmNSCLa9)!KAJjCG!=JB18O(F3j;DQTXB~ zc%l&Kda=1q+jmUCbXQzt$TK+Aj~g(_Vzm;M*^e*=-+l^7=nJ?m76~k%wQS20u@wpb zdeVzc^W~2D6g2aoQ3OXVvxvk4M|TTPy7T!$ns%A&v8&w{wD=*%k8vyuUqhuLoS+RI zeFA|_KydJ3#snEvIJn;%;RV(}a(9d~Xmms;zJU}^MlJkS`&w{{qt%dqAGrkD}wMHe8j)R*eyy>j(tt0;rdSa z?)>>XHtrb!J`RIFbWYNdl?_2epWa2!^1p>WC1d4*SF17P=puj$SVC7td|-fldBu+L zrY~6VjLXnGMxx0K=%q@(FBjDiQwO7Y6$Bk2cJ@OY${NvLaN;0(m24cuMR$Q5MDP-a z`c9u%Q3n@YNXDoEQq<7{;t-3UJb^SrF%v`*Ke(LTv4Kg3EVqqfD&fHdpTq=#XCzdR zLWJ$bk^1-OploPFMJ4nLaEz5FH8>ssp-8q`CPNQ4%;P{ca%Hsn) zx`aHn%usF7htkm}q2`BZ%tIFnq>fRwIl>1Y1wUA5d`KR0K>2L~51VkDly!{NnP}mQ zT>Zm=Qrgtv5peO6{_MpAAU48=u#>v4I$$L{7zSm;1WKEaj$tppQdLcSM;}xaW*=?* z3JwK4d;pwRDb%4av?c(U34$IwA&&7ye&}1c{O=qkBrAf7jD#%|jCSt&-(mc!H2RcE zVaVkO7Y*E}z;?jUuM!hj z_ojXUvgVP?3jRMhqM>DECCm60&NaFE!E}EJs=NMAR`hKRG4RE}_|!eYrzg}kfIOwH z$Vemf0oJai%hFQ)zFKFyCbUMRm6ry?iB8{I+O4}#t^6{qe(9rxiIbaxo}lLAE-bxc z0@*?*7f%WDfRrGSI4B7c|H%!EJ^?PBVp34m$_-p0n=UWM;|wb2d4Q3@Na?6zXJV*| zS1K_Sn4+5B;?Ah>z5Ita_K6pFBF#rDp5PWiZ@&?I4ixy%t9QvoG_?4bKkuQb8ykGX z07Zo3NdgW!t3Or?nw0R&$qf@&r7{W32gIfl5`YPTcOiOGs?J!Twe`Rz*Nd(>*o7bd zQ7`Tm8)O3Wrgy%P;g9TOTRE_A17D<;A6SUHe3FO0+M~qRBpqXBH5iC&=!YG%%0D4u8mRB<1^ zAzsyL@f8T#W&~r!TV@w{dN1B-LJ657Eq)I_2e0OO_@?j`Ck90v3tj1H@LpY?M&IAB zwXwObC65?~6Ho%%I53fz%^mtIAm11DyI=h(&Crh{Zn)lh{)r|&s|OW<$}fd1*UtcL zzsoM^eMfebeHeTHda0>lGG5!{C9BXpg}A$)#rZIt%OGj$MZ~+Cc5M~nW#IK z<#LYsh$jV=>${OLnb;G-E__(*1e+Bix6`R(D$ce2YLj4@j>zcM#A6Z$u@!Tb%VWL> zAXWs@5hjwu1PmXBZkV9BQD8}yCoXdG#8h8A5+*wCC`xyk7(8Hta$>;UTCX^eL9rT2 zq(U!uaBU<0!B4(y#bHPV`t|baNqzW|%XnnsE9ktcGavEG5BZvh z5d8T=`4DxA|ImY{)%_%Y9&bLHWE$yjJu%w6If$=fiB+aFaB^dk^XwnCae0R_ak7fL zrr72EQu{G{0AEg6jEnC$DpC3~SR6%9 zk3MjtDhS-K4$z?SCqg8{ev!VBe1biXn1Ewlh(Xm$aXhI(KXq{IJHe>iPx>4p!G!3oy>z)tz5Xw|Hfb&!0=Ig+d&aTk)|DWLQS zU)%52ivI8w8aB$BV2$49nm?N3Gn5#H(o8mP>tZ;8kKCLa)lH^>}-{!Awl?Ljwb9BTZ zcDfYcOs?U>i~fiVCQ2;@v)*Be(_n_vWDvh%i_^TBDwv%wegb+Dd!I=y2a$rJ^lPg6 z+Z-fG;vJH9|mB)@)a$;`emE?$$QD+IWiJV1fguRosuse4l48&*dHRs zF8%b9&-SW=J4}MOxocmTT!~q}!vqTUN3iL!5dGo|$%%IGMvUaB9Z8>H$In4$T=C$y z2U}RCGc5Y!p<#UDODZL22R#PjKNa(qTw1P+A?m;fAY(uQ=8p=g->dxmyc&MurV+^~ zd>oUeT+W&Y6Pd&*9`ONd*&;`v3o;#lS*`#ve)4nu3p>)AI!P1T89xg4aiSop!#hrt z(pL?!@z}vXPKMH-xDy`9%Hya$;?QvXs6O<=1L#M)xmZ^it3b~=0K51D90??z#D^PW z<3tW8HTZE5pCq9N=AjXi15iNDvJ|>xGh>g<@KLA0#Wsx>`l3Ia@>pU=B(Kb0goPFk zW-N-=R>1Su%YYsR2CX7j3ZgyCNfSQG5hFVFn`tU<*lxSDi&j!&$LY7wG+_KF^K!%Rc4;GZOOM9zUYhpN}z0(s=9gL)dg&>f0$1dkR{&50@ zujq9W60lzr7YD`1D}*L~{PHfJbp*fM@qrG9@jGdrRKg=5Mh@@v9YhPl$pnLrm4bsW zsvFieBK0WfdKG+3kUrV4iWQD@b#N+)wTn-?`a6^~_@PeDVCX}@tm;~&faYhi6tv>a zXAt?lN2wx_k+e8+0|A?uyuMT>_!R>kvZGx-!+v!49W?O8z-e20@)bF(dWS0sp$B$i zBQNuoEa=!5!uQr&fkYyau+*spOx@!oq*!H%NC~y9hGb1Wk&q!)9&dg!%AZ;gU&f_U z;1eW#b&n3xf#iZOccFB`NoSuRm7hu^F#U5|nhq4;_M|zgLZF``c;N$A|On zPzF_+kW9H7OoC@sL=2Fikl!w!dvf6?=|H>@(@bO#=xc40zdZTEM#BULmp@N7`B)T= zjgnNr)4%kUZ{^>zx+Up@ylT}d$AjgF7WqzU($hSo2Qfmycb?F=Pi;$%E|E(=*+mjX zCi-9lshn6P<~TZ$h!#HR#T$A#>8Yt6`x72sDFXsewEp~N_CeSMj^>Z!I1;DvqyzS` z%BMIfN*?+k7oV}08UEmECJc&|ZmgW4Lq?;B*rgfP=p9;vRI0`IVVRFI000UTji5q# zvaEV+V?kJFopsYkKlX{V`Q*)nU8P4Jek9#}=e^qJ8lFaU=vQ(HR83?&gI%+peLg+> z;Dh?5*tyQ=<3zv3R$J<4uqSKP6?n*;DL(wd?C{PJs3Fc$Fejl+^aGf$EbmFPU!H9z ztvg|YevwuQ6FoJUOZD^Jt5&W;q<Ll zo}8gC1=v;2*iH`kQ@-z!e-|%Y6v;xeu>~3# zB3?2@0f7vBfX1v~`}7OWj4u9AQZJ`CI~H{F!}wIdo=yv2dAYj zop}#A_>gq?;fFgZ|3>?P4?OUI2l_qleQ(-huRYVedGqy?+mm|G)#%7Sf+HHVKpGQJ#P5>2%#6{*eCqm%pT0FV6DGV5=>+OndMB=Ct2C z-j+t{SAV+{pafvICN`69q$boNCHLR_;^MS?@e==pITJ(wvW@hw@z(ft>cn%{I}b}! zHlLjS_P5*9O*h?`#*Z7HcHU*@^sr7WS6@BDD~5?1Z<5~rwzsD}_S{30MBg|edB~Zk zgnz>g*QYyfza!0l=_OB)jW*gS?YjGJ=`H*0qr|?x&)6gqzE}UrnNOsf6)Sh#aYvfB zV7`A6eY4FrOK*GI+q|0Z|p&$dhNDiz5Ij+C{1{$k<)8GE`*Yt?uX8hQ3>Ci(DO&dx_lKZ`P-y<8Z z_V`TD$?-!U_@EQQ1s7b9o_qE=|4Q_vNt>n_Gk$M+e!_j)wCU-v!wyT^Y_p9gF$si3 zO~%J#=OOpDQV9=_DtJuyKNdZVx)Z5njU}RiFB>Tlf=LYisVBAp*?EM zXz`zxCQqL1ImcamlF481x+~pt&pqjd=U;F#SW7=KzolYepSSFrIN36mb6=j5?!No( zw5oem+GwK<)ArkMZ~rZpE_aE3rQ&SDgmneqL0)Px?vlle^>g)O(=^2&CmF5)=4!z~ zJX4Uf-FDl?f9{Ewh{Rmif?m6dID_3+?FTXsu`ot&x)%ZK?@W$$WM|`k4>Zngt zAN=q~tHa)XMD^F(@2O^7eO=A({0lCuR(5q)^A|3vPWk#b44<<36d!+o_0`qV<;$z% zPdL%-?RVU%y8oevtCiCAiD#av4nFKK!#{N7N2=NL=2x$DcUQOm^{>_X8%#7DeUJRe zN2>R}_lQROV;`@cc>aZIaaUJ0XYrEiyFd70-M7omyH`g@--#P-YS_eyn^w2|`7c#h zPj7YWZGW!uzV`L6SIbwdsxH0k${L1GXU&;cy*OuXb>c}U)$qxar&PP`wtL;4vgMZ5 zZMXlmTD)RewRFYu>fQ(LtM=M^ueyKoW}8%F#|^LB-#GQu>cs`~tCyB9sa{;Mw0cJ4 z_?*Uep7g)-;YX^m>o;u0-Zi~$zx_^~e5>m0v(KsfchcCb3HORCuc}^IxvE;O*Aq`Z zRek(lVl3B$d+c$?SI^3qs}w&gR(4f?`Rm`R9d_8U=G#6hVx!Rh{Gy*%%Vfv0i!2Gs*XA4*c$itzulq5 zdR4tR>m`l-`)c^KZMUmlcyU%WYu4;)>eOk5Z!&4Ky1$wC^l95w_uYGc)z#Hgb*<{I z{&L%2s_9!#Un4GbKRN5HYKdZapr^08^7707E*`kM?yg(^VX3l)P+GwNo-M8O<`)Cf%*6Zc! zkb@64Y_p9gRZl$egmxbKtNHWiS0|r*a?R(!1NX09dg(>^tFKzPa6xtEnP=+Ckn5zu zv9!}pZ>+Ap_S#CTz^X?wdk1=T?5TQuR9*DCsa3yp!qX1J>Mtcs!*#yq;ZUusl;JDw zUwHY)5)skLs@?<8%8I2dFN&@h^n!+4*2nS>2sg`YQe(k%8Wpez@+n6hR1@>jl+PW{ez_4-aa?MFXK z+iW*o=&b&tjM63AaP7m~uQTDlfAwUo1h(+y3B4@K+4JC%g0kN0wDQv#x>J`ntT5IZ zJ;rcWh9kJFTGgE{{nc;MoR?>%>C?7Nmui*u{qKK2oqqa{(>YqDP0(ua!k?X&9=`tp z@BKXf@T2MTpE)6|r4`2qKJ<}v)=z(uPW#~x)9GiOk;c7leXZi2OP5`7Me5cWkTZJH zuWM7*l^*wLRrSS_Pj>s56HZLWpLn7!ZP!kJ(5mh<*}C=y8>G*F;S1@qtFA~F{qo{; z-g!SulO|0{PtBaERnad}zgBAfJ>BV-zqly<>DE7`sZ+O1=bd|Yy5;6Srn_&yH=XkD zUor1fPyHY1Nu9B|MCTH(Q_s+`3&o4e^=-8Zp&W6<`_u1!cWJt6#?|SH-~T>+^5|pI zaQS7sH|(JOr%vOPZ4@*J|L&bk^BFO&e`INjRBj-g%@3v9)IwO^v6Hmtkvi{E&oRvi`iNk57#9#tBq1d>Jt2h8*fM_o_M@LC!BCX z`sAlRnf89mo6~PEy)<2N`4x(tAE(#9etWIxHb@_M{|D1=e*N2Y$t9Pium1a2(>m*{ z6XPT5U3cBxm!G}5d|?Im+u!~=X|kntWWyA#hS+msuXB@0n|KxW!iz7Y<1~KvDJJgM zn4WafN$GVO`-dNSFkN}&mHx4g1zItG`&-{m4{NnPdDG3(S!bS^9(v%xbd6SS2Wi#5 z(S{qQPaS=9y7#{Oyplr(WrOwCPsbc{O!~#e7pF5sbBI=c)3=?T{`Tj;i2ec1xBm3( z)6b;$zxN2^@3H4z>5|`Gl5W1?=5+MG9Gxaj+*Gl6x~^&-_PlOW{7qPAJ>!lYJ6^W1 zr>t*i(Q)e3Z476uxb$aL#}x-<@|M^g`=hMTzVVH3XchJb>6+5GapT-aPPpo-tE?}V zmhXT62huNp`D@4R+uy#wR%>^qFMaXk^vpBQ=~9?Gyd)N_qsTG&Qqyf@UQU(kO}jB9^EZXo*glyxC@+FvHStf7u+r?Be|o^(|hqBt8Ai z)7slwJ1x>>13FT00oU3uz4)RhKd@bP-8F5l09~YgB=$(Q+;Xe5)s)TC{Mob8Q%^sY zRw`jU`ov?Pre#XBTsCbpZ5v-^?7I7|=|6Po$>rQ;+Mn|!7DD>aO6?xXeEaY^oYn3U7FpafS`=l-p0Fh**JCUt$o5c;DG&o$#ekrM!H{<;BhU_x7%R{U+$LRh+P`7 z&OX>|v&p(N+AodNU0(e1yvD3c`ypJ`5h%@NrV`-Ketx0x*?(ceKmGL6N;uz2*WP%G z67@@_yZ-v?O~xe>0lbwG9eW%k?;CWvyFz<{EEwrf6##kr33aq zK-&u=b;-7VI{1(m(xsPPo*sPo!Sv))PitJZ@MRguQ@7qmmydU*`(!8ONcr=)V~;F0zuQUJ8$ls2y(TS zEq%o>-nzBd$ISt3W{;9Wvf>gJz9lwjcWRtplD$9q$xn>O4JA!S;c9;Ah~yd-IF%gNs>K9V$q4iRJ_q9>u{upWaMi7 z=A@+@6Di$bHu}KhsF#$&0r7Z3i}nM$)BO1h(^_k<SZ%-yb@AN$0!dHxY~M@&Y@*%hc;6M(Xvq+-P%YMoD~y6 zPuXzdWW87E=0E;1UHq$y)2ZM5RvN9d0{d9oOxx0ljYlRn*4dD(YX#OL)RV>gQQyqUO?0E zdK0U!tM(tj2#rx3y7XSYPZeIot(=g} zZDW&7Hcgr#XofRq%!r_W6v6B@ddn@#z=3O~Q*ut9@vD(hjDEv1k#UbG6mWX4LJrTklL?n28{Kj=|Au*4!Crtecb-SPYqu zuuuRFA2A|A>DlL|B9LB;;oLI3v~MZ&Cr+G*0GffY9#^*7dh7BLgrc@t%hXQxIRxvZ zNt4Trmu5uEd1?Af(P%bUcS8o%hfA;CY&8O;{l=AQm4bWky$74>i5c)`!uQ(!EY*dJ z_G-5}XaiHYUBw`y*0?XW*5_Y%o`G--{jCo|t4oxITW+}(ZF;rh;*j=02WAJSr>8t! zMvNH7YUs;l(o;|6HLjj$$%-J$)zX84T~@ZkYml>pEnMJARkNfD(yE78KuRQd~ z!;ETE%lc?Ls@Bx4?6T`_<$EXms9cUAbLOl$<(g}5puFB$AQ-@OZUuy#bybt>*1bX(y?a&{b!$IWHs486~WSeW`uwfCx z4pIWj@^@!Dse#n6y8WcYXd7c|Lr2SWR91LK`{jAwylNPCTKpV>NkQz(@@fG6`mKV- zwMW8wFW)ypH8_HwaHO}iaqEM0x2}%-ARggsoP)V5nZ0`~-?$JBo zE1mZH;f`i&v zONU-74xqlSd`o?)iM9z}tOO5YgQGp2Dj8K<$5r?znDX6z+nr_Vv(w5NEM{$r!0U^4 zWqIbGHEVXc;DQUwZ+?qu;JRzcTo9l`7!Wott0PF~&0ZKmn+U!JuCZ!aZPnEfaFbY6 zy0i^0qeqX9@N{5K9YLfOUh?}`w8Yb)!=8KXSq?bxz;f=ne@I@1ll^|?teJTpHgssY zpXu?r=l;GEwl`}H99mwQ@oZ*NE{yH0i<3Pw;sQK1oWKUaF5e{p*G1*-Es_uR`~wN1-W*f`V-=U^jy4(;@#2OU(NM?3rWH@{JS zcJj%YzHG7i=9!MI&eX8~3agZ77?tMEo?E)E4r4-xd2<(ITbo+?tb%Z2RI6JYdX)aF z4n*U9zU;Nn-sRwfKatL(fL*X~K2x2SGA&vWTX7|auVOUT%MkffHALAZi-`t?ipIFFSfy+830y5^I41O+#*Kiso1pK8lW~LZZ5w+ z%QR)x0sXS|qEGKW*obI*E~o9seBle_U3=_NH#8yu6k5_>9GreS2t*)}egy=~d)~7% zQ_CC6pU*!ptByw>{RKKVWixHdKuTT2bpeCP^o7r-?wS?cSEmN<^jU&f=+ zEXS05e^z;2{d|e()u6${5snNLQh|T_#+vYm+R0p|bt@s*H618;ojUb|o~uB2SJ54a zz4`%j_RLxj;W$XnVsLqK+=Q|+W{Q86{? z&wh4t`RpM_1&@9A-8Wj#!5=@UthCCi<=Af?N7~)W;6ZC=ihTHCpQrv^LkD||{ZZ}P zEg1W}fA4bYsi&q+PqVuEv!DHp0pa=dxmGCH-mWZ9rL8W!=)$ZTeg(Vhs8OTf-xta% ztFDqkPTr9pS7BgrYsX#)wbS=Fje5MYm(IOgYE-@90qJNNkuK2j70&VwOv8lU8&|KE zPuu4Vm4e70g!ESS!E=z)jEvaG#D*@RB!W-)CAek6+D0S*jb z$#L}AW!GKHjMcP%CFTDUtiYm{HRi z1;wA%6*85!lv=|Q-8UmBJwPUq`tCZ=? z{rk~5-;bg69q)Qix#^bM%dbv9txSf=`}JRisqBX3g;_6VIbK7hcCFcrqPGI`h8u5+ zpx=C}(PbqVd==ol?QOd;YE6oY@6<&t4-r!Cx$`bm{vVeg{@@3pF=(b!O504SohI?u zc6XBq#?IQkhckw{iCX;KB)h!kf<^POE3KNT>lWBHH)YF?+LVKWecnsqB2Nrt zLBPT2+H0@Hrgs#!(j8*f(N5iO22Vk;T4}w;0%SDN8w%Lm9CR>NEpT&0Q z7MJdrShNuezw#J}z50rlLg4E~Q4!lK9!Jd=q17;h&-%7f2-((^QZx2&E5#XHlb7ErIc71KaD$D=saYZoX~VgB8cV zF!wx!&RjMzE}1pGTy^_h<@4l)+t|xRC4r%#Us_mUh3PallunyExx56k`HIkrj1v3o z`+;)TJ@+tuyqG;R*Rw}!Cpt%$a`{!4r_93{{WsWbQ_5LXhORTJoOJ3h%i+8;dCvn6 zhly5ZN^{fAx0Z=dj45wpiu$hiyaz^MQy+LueR4uzOvnB;f|Zv~e&*Bc-@2&$`t(yX zIvs%~wk!LMKJt-+%GqcCni2Ec2!>l2k$RNN5r~gJ{zzGWNOXdI+^M!qte#e zBE4n+D`zv(xg*rz1@{7d<;&kJkB%9Wg^Am5yNwmH2g;PmPnNH;QmdBe>sR|=>$v2y zE6NQwuwRNg?0^7PoB8u!{)|>Uq3p8zTeH8)L2)ph`fFeQN;!&^zNgS6Co@QlWjn< z!5=#~g4co78Ox$MvzQ96%qr!1<%%mVkLETJ&CgDJ-#vGgPk!PPq3McjQe7~ARy3iD z(1Kimdho#q%Xh!?t+MU5+p{lkYSP(#Y(F(gr_s{fL0X|GKJrE{rmM>{)orJQ#yLe) zIIY2^>Aj&ze+Ej8|JtVVY8v>sQ-3wuW$(TBDW7KrxG(&1#_4BdPo5^9v(G-O{OOP9 zNxE{~4cDdrMflqQ?FF3w&Xp;l!fNSDcxos;kjfwV_{Ynhtme2eZs4FnsGuvCxv2XY zrAaq$$)d9Qpta~Yf5?l#PNkOX$woy+B7b*dm1`ucL=Q8vPQ}2QWm8}cA2AX`K zee-g0H>B_T-oH!eC`mge?ckfXKqsh%jyXuXg|J0F3(zpxD>P%O(;BE7!?aEJn@k=zI zhs%?bCzl%-ecua{AM=&3lo4!GxbB+k*d|ar>o(iIxg2u%=gNCojogRCCl`GK#RGj{P2gzQ$v>Lx8I@c_O?UI2lm^qtVMh2@<&E=RCAQpD6RIg@#Y(& z+V?9f59k+7_GRLvR3Eg54M$`6ciM{v>bR>7(#xs(w~zaJx%Kwj%IRM?I$>L}9pLC= zjwx?@$2-`)Q8#67xz(2COa$+lXPsHj|I0X z0)ZOxI!u9Pym_ZGfJGx|2n$AIe(do_%f~+Y@udCv&re~%n1B|)cG-FNUCKcp{b;t} zu)nBug@5*fr(Hxkk9PX)?|++*b=!zVn6G~I>t+8B?O)b}U+r(*Nk3%RNIL$CtWZub zZirS=snMxvee1p7$!1qIceSc_?(yz&>BWCzR&bLb=~L^K?|k?8a`Vl%VDh**#(D(@ zOciX9bWksulUi$us^rvVh1(Zt@REkoiuda4CUNbLx|GUDEz z2wX?PpyPDXx6v(913Q{mLwxJ#G|2@67Zw~9U1f8+F<)Ux4IHWauEeW00C1FY(yX1L z7edlkWoFKF>NYDjB1b7#f_#6@RYU8epjy;0g96ae4CGmTS(x4LG0uX=@+<~OhlLCV zFJLE_!4y+_l2e0Km?p2pYe?c`onl>rF4om$`Du)chwXK}h9%@hE0n1=d_lZO8RjN-mq`A3AnK?i<>snT}k7?CydYEzR*wJ*8%XhlZi@DJ_bG{`p5{`t%^ zPctQ+ns+g!Mbcw05>EhTnlqOvqbt2WJECXR4tlyp!A>VU>#n9{uh8!1%9gJ%WtwF; z@Z4Q*J4}bx3$Q=s)fxs{r)FN#UX4Nrg*`C?tc=-W`t;}7N^ng1?K!_?U*RF;7*-|~ z2v0pZDIIq(v~WL@Z6qIyqh-0!^gL}e2>~STZXsBm)z7ujF0}zUh*)3wNSmnbsDP-v zUClG{rovi2kq_x2q1S`=KL`%OiaD_njujMG1IGfoR{2&1rpokrYnpeB4`JYESxfa^kcLiIX33G_U2Wnmb5H3-i@Fq=*O>>maa7 zK?Eyp7N(|M6;_rb%>|%MP55qEunbqI6w*!=h3yKf@QhyqV_H{VBRcq?Ph#iWs+`2M zeyc6FppI4RvaNjwur?IDLq3h#?P%Q-CiUKU%M(!tB0H!8(zIz)qQt>?lbbY#V_@ec zVal;1%bV>xj`BxK534Agl*O;@Wt~mqz-r0HD=4LF>P{Ffhxx@pv#uLq^dWq#?> z+LL_tiU1^COHgunMiFOSrhmSK&+fH#LDr!GXf$cj;xH zwJl6%dhtrz+3sAy8%z|8 zozA?x=4p#Y<1xLfbrLPKhYFB89n_t-c3Ly(X;kE_tkVf?Pu|a`;%)~JG^Ce;saRba zS0LITOxa{`Drh+LsSRo#KDkK4xS3l=88tEOZE9P2L6}kvm!N4jk+3opEKF-CtmPOz ztc#Egwc|x7fUlavLYQ<3FcL=?y(F4knAgSuj>1u!3foL1PKvRUh>KVnmIg!9v2H$l z8nW~dAJOtCH5)WS$4*0umSK7q8luGlQ+RThWZ8>ejTy}seaLBCYL*5kfi~${ zffS)=7#Ym#pD=UCNCPCw26b4(U}`<&J?R@H$t&-L*Az<9rNKk`1`f~5Im7Y->ALu3 zIecUugUtgC(w+@%EyL#&1xg#oaUX$e3scKlNYL!9Nl0-mGwm=IG z(Fn^37^G!F5aOOTL=Z6|v!zQf4Km2fIKWb1h?^seQc(@55}G^^Lxa6UR){F<0F}x~ zv=}#uGl1r*IP+6Sp870`UUmkjI=Zr`+aW;6M)ps*O@2ev_!P$0Bg{fNZaWDp^V{(k zFe z5&onHP|B`C$PQw=5#PWRAM=Tu^fbNC)c|e@2H6_o&XeWvXMTA}+9o~El0g`jVJX&= zMC2up_(LOMNh*s}VEHSbIpw^Kg$7p!2Mp+6w%%&1Od)H>wAE_40Va<5%Tuk3Wm`8B z*1nubaq$uh@eiC7Zip9QP1_|AaiK>55p7x`%-4P?n3FGQ#H3!KPjg=biK&QNN|V1y z%X5WN>y`G704HS%O9`Q|_(V98qrDH@wk&>^DSN5#|ITff+puB1tg4L^l|i+S#Bm(= zO4z2_sfakHgYc_eNbNw($3rO0YJjS{7-Zt2 zg(MMJq_ie#i3(W#NSQxEi)ZUCY;jX)`D?=|M3%!jp>^@9tSS9!nO*FF4IKl+M55`h z@Df+Ugxof|sQa-)MHq@(!}#W};#APaSAf0)3bj(6Z!*YW?zeHu)wZhwQaIw>MeT{a z?h%r*wFV*<6ay#lM8u0a1u5H;zvM|A;o<-;e5b51KM<{61_koA^|z$d(>VTwuJG|v z(@7q}Lqh|b@`DBU!IVVewluF*SkQ@j+ja^M1-Ap4&!)E&>n}*l(Uv7H6?O{a3vC1e z;vk&PsW34GLe0AhrQ%%MkF=B{z_x4)QmC}$`I}2H{uw9DxI&8xIM1D5gqgyqwp8)X z6M4O_xHo)6L}1kO)c9lg1)LM}FlEsnLPfP4Wt# zq)BJO`X4w7(IjfVZG-#)Q%#7Zg2*pFfni&>Z2~q;B&iylDov8Q^UJABG@XVw4JBlk@~MAX}1j;@PCkPuen>Ye_BSTBb>YVJ&jm zjLlOM-x=2;(gZB^C_X{GGwr{A!-61x5HX{)4FJ;ejhaLV%s0_~*XIxb!q6FLvuGby z(Yj_tAhC^^Mpx_L@>@+JL{Mk}Dvt1s%)O21LquJ=RLBpkac=D%Qvr!?^nI`E3Y2JK{5q|=~%lHWMT2Q5f z_gvvsZ=g*CqwNW?L`zKawG@u28?oJ4+)>xASLF@k)Z`R%sfO7by3~q7y8x_p%r{^D zT12gHg;>8EEC5#jnzRjYXMX`PGBoAoN%{zzPg`~;oq|!t%e4RW zvJ$Vkg#DVpe@j4PO_KtZ24RlW&JwARKSZl3q*6S`fSOqE>5fp)_DMVqZ?n5vnDK}3 zcH|}t{6QxGR;Gs%&e*^<%%T(y&BwC6NLNKxy*HuSQYCi9y-5~)YBs)QBGQ_!Gc9Gw z^7i3e`E4Jpv3rj&Qj%B0wlOkf6;M2IuMk;+@RJEUVsv)3vD%M_wo1?q0cL%8uG7Vo z7JzNFIv=2)g3Vqp6FC~UhIoa4(uD%vlFp9hFIQk$8EHbAlrJ;p$rQ#l_!F+(Cmqzf zkvJM#%9qAXI@33QwJczeK1N>i1uWm*fUC^uZG^T7Ejx&C%WuWBev7u{)JvRAudS}O zr`cLz;^7l*cwH2*ek4eiIlt6H5#rw39`U$+=#@tg65Lu&(BCCj=w;Hz$|r zMKO;uw^)jkaW%^42v8ccHVWZrTi(kM{t7O*`pdHb{Ilx_X9-gm`@hE5NMXvg^SYow zkBuFxFRxT&I)LVty<(!^N>pQy3iAn7_}NELmnXm@nwyt;}m!YdbMK0*|<$ zYsY9vW6q^78PIky-YIy%CIM~Lw2x(4PM!f+1s@rRPdM>QSgj*R>_rMJH{2!;n6^xa zSi#rw2#UD0t_mLUAf-Z_64EB3QR!`*e?c!oJrCsbvi{n8f-cX}u4>bO zh#$8VOG9dStr5>{&m?`VqcN6eCg2k208!gb+OrefwBS)OHJN3YyQXjP6GUf+w^-6y zNTs)_Yo5vrHQG9~xFv0BVY=p8>ei6pV1eS=B=I*eo3!~^I@0(iZ6~fxSc_Yt+eA&; z|MVYBNNp`e^;P#YP~vR_qwERP-VW7C@;uimjRaI+X!A+OBa1Ioo448p)E0nAy2{Ej zsLXDXhJl3KFkm8@c)3c3X_>boQJcLoD=LZ-Tv{d7)uuN0aDOAMY^aPoVADEIG z@vRM6f6Yc?|njtMt z)iy?;MC7vk;N}CtF~SE|>u8v1^Iu^kYeTb&f;lO{uL`qm5A%h#XfocLsMUl`?z8nX zOryVv%$w&H2kT)y`HN<6K5^o*-nGPfpRnX3)V5~q5p1+(v?9~mZt|hqEP|5)w1r0k z)7Ix&M-x>6CuKv)TB@401^Q&Y`OgfZ$=_;^Nnx~UmcGEtV%rvdC92lVXYcGcwIJ&% z6`HHTxqbB4prj{d<%nf+ES>bZ9!>8Y zzbj!_G0G>@ z1x!qr`3T<=WV)J$Pr{9F(~yl*U?UJ!-rIZ{|HVt34G$CY>%CSq@eB;$xYE}Re7o~e zfYx#~{&vpnhL{KzSQ{jcC{&J%++^ry{F`sz42PxW! zg;9t6kb@{t^W) z`6MT>Nbe`Kgl6v8_G5cnkNWIXA1LM}pF-Om@@{EKT?w@eiK@mO0SL@?+k|P5|t~<#Wo-t!aRJye7?7~2*ytmetlgAR%SOi zKDE*~z@xF%l57?21@6f9G#WR3nlY;>gu5!0eN{3xX`Omidj9vq|u6n?zJ%Q^fJoUXtHW(^^DMLiF&xd=N#V~OdX9}gdz~PgyY_K#QoE!uw z%TB1f7+txr6csI?u9iVNg&JV&Ku%}exad{8sNzvtS8o(HUF}$vR(3{r`o~TKtr#<(sV-bcWN(X1!I2gaG^K9@{K$vKZuu? z{E$^$X+h&uKVb5h!oMa`iwQh4fPg~4dRV4>5px20%`a{W4YO*qt31LZxT)dT#*(>C zyN&jN`k0}?Nui%kPW}irW67tTDgr#bBq3}X2AX#73jx|`ZM#k(0X`l*X4GayUD=C+W{@?xp=TbTA#YZBAP)$%qS{i8z z??CywiCzs><6S9}`vUNbxQ~5?_q6mT+819@EAsA;o!oqBememTH4RrSb9hfmpWHI4 zg-LKbSzr7K^;v;aIbh^82zDYF(RbP=Ctpme5k|9S$6h4KHvMA$1e7~nu6d-2PAJd9PRn8nF|GQV~)`x zSD0{l_8|2^;C3uTu=0-GjOjCA2)iSK3>b5GAIsmq_;#WOacM)h2~$_Tu@sq?j!Ri~ ztaK*D1ijr6+-(EWBOX5GHAy7qyo77{?kLybEkn1RtiD;mAOTK#v2P~Pw zJzW_g?q*&!AIcMLRuZ}Z%jvB`Ol>Ip*P3$0)w2QZq}c@xZdm|ezgE>CY^HQ==tBpl z(w=Bz8n3i2@!9PT7g(7*-;OGo(>8QK6KpV2Zly>H`cm~ zU)+eu3?LdE^zjukUn>%(G?J~wSD`F!?llvZup^&Hr|BXCHTUum(e(lc!}B5BcGIgv z1T^&0QKc?(2+q5hJ*fX;1}xjhGQC1Oaio0dC0@dh_Uo^i`K5+XgZ6Q7AWa>V>)=5& zmo1VXGnplqxV869(ChrPDg15k1MPLv{_hBK8&rr_CBF~(EpYA@ibY=mJE6kw4vu>I!|#6|PoYmwc`B6L2Co3`!9myuac{FS zFBpsEJ$N`g83$zju_e9Z?e8cP#*Z&w`r^^N+;S6i#+l1&~6?f$4rc1Lzt>=YDp6&zu~Mzb3tT zD8##8bX{`u$2^*7wW>s0gOBu?MxzNl>1okMv zg|3eNHpPpEX?z*mvl=}Q^SbMgh;_=CJitmjpQ;Yd7@*sjuM zIRvkQti9@jR{PY59?98W-jloy0z?gH;~Q8)v`=;>?2P~S-?TLRw?n>-S99)o;hBzm zrcdp->!s&9?wR#M$3wHGcZ``iy<^hsSsnL{d!pkVd+lvCJ3f2V=Q^f5_k71JjxYM_ z-!4hm1{{H8+_A_1pkv$%FLXRXo^c#SHEyQIOU>%woWPF5Klk~h8Mx-)jx9%T)3Mc- z+vd4P&lU3f!2>_qF@DO^9kb^x?6~Uh*CtGu%lBBNcyY$ej(HsMb<)WvcZUD%(#vw}*R+>j z>iFT0e%u*u8CP9%9Y>fg2<|^V`IKeij2|#yjgC>H*6SEDWcV_1Z-2)-J8r%Gj*dAT zJ@wM;*&QeRK z$B8GslJ6+pzjzzaRSGz&F}c* zdFOR-PGx7@t8qCDc*1>g-n<-uT_6$cyeee6nzhU0<{(OFpv%-&l$B{=J z*_jRj#Sv-?I;I2nrziicGu*Q8yyxx?wxo1$wqXY+87&jHG;Zgg|K|=oLv-x5*FJBU zrcM9X3ohz-Vfu?5-}%n>-Z1U=;s0sRzrgWXOFG1_&Fk;h+ilx1Zo))(a8ZsnWAN$t zA$+-XniGF=QpaqLfb(cLOe9G=2cDhHXAaMEINEFOlEoc!@qN>N=26xHMu>b+sd=5O z`7Ggg@oPTJeqQ$oEvB^pBjF6(%x?d^A4EB$9hCEm^le0=_i93FJ^FR`a%q2gM!@H$ zJX6j*?ev6s+N+mS5j__yWYh@=zLfNSGPNYlu;IhYk2uoF5l3hB-^a1v)mLAKTi|Ch z;_3kHCwR;B+J3to$~V4wY}t-c-a{ltZ?k3j8vcX6iPN;VyyY!r2Q-jOb4aVp;^91h z1xIk}p|Pp?eCya_@$)mOoOHrT)mcSAYqkk8;5vwzue{f#+J>hO$+C;adSIH$W2{J)DQ#_jPoc`N>o z&Mmj!bZa^OJKxJetsa0Oe6Oy(a3PFKX%Csbn-TrU!w!o-C`a$Xg9hc*7wPS3FfrPJ5ip^rjuXHr#ll*e|8U&3D~ZzW$Z3 z<{(eq9uMRYRJBI^n;rbIgK$MM8*iBF&?Y;eG3!S6dHm;J6IanI;}(aR7g|N-GWuBM z2XMILZx^ExAA=i`{$;macfmK*hcdm@hxp$)gyHC8zQExS>y=?chJtU!YbaVsG<0~; zcN`Bp;)VTT=_j=cciN5cN{m%kQa_g=i44qS6JI>TByX+?qM0R{Tl zUIF`{(>bqsbLOROwE5J%R|(FShUh8;oJQ94b7s$q@OlZSc&9Zqa@zI$v}si+G}AGK z)e207w&U2guYK(+8H{upedXUP?oRvi<^z?>&Utu3yVVN_zDqB@IOVUzwD>TD%NCm%?y z<8Qm|w#)R+Y2+<9xOrQ301zvRF1(`O#8;`M`@S{CU$AHIwzdA_V za`VyU(9a%{QY4M#>u)OeKX^}0QQnPdw{@P2Klp_RzSgFtnZrR=x5tk>{7|{> z+G}!zT-!eS9m{k%)9K0xRUkF@c2qy$>6N&qUnSt5e3>iBJ8%9;hJRCZE3{s(*j7MA zSVdd<=Y?jKaOsSWvRQ`Bcq;%5hBTU&wx6+M$Ce+T@DmO`_*8l8+unu;#or}P!?Oaa zE5ge3GO1>ZrRU#s=FH}7wt6_GnKs;TQx5L@SVkpB{O1q`UYj7C;`{yg=fr=xCza^n zZR(`wV7j?E_Q~EYV|Wc%Wnen5VLHZBds=V3^%FO8?Gdr@=nTKr$Tr$wBa(J7(q5fY zK90mL=kxivr5!qq(HzHT8hAZk%1e8gb{=g%hP!&%XC>@nw1N)zbi(E_-zWX#=kb|s zXV8qNAo5y!@Q5(ujo7HpI_uZvppSkm8o?D;ULMD3+U?|~7-C7U%aSwCJ{woMQ}Cww z(Q?d}zF39~9g?zjIqboj+ibfnBlXkxnZKXo&G|*E^wgK(BiCWHUOu$ZCSyBz4I46; z&Vx`S%yQa6$T*K|3e(VTb%!@v-kNL05U!Tzaa#LhJM^H4aSRq7I$%e%&Arq_)Pg5X zdW!HaWf1;FKO=BDKD^W;CK=vzsFZ;_ww2T+n?@)Z3F*y+Ii=~3CGbSbQGtJ z$AuEFVMB*z_47tF+_TO)D`y+(An&THuPW<|^f29?2$<>R;Rhd1dhJU(%5ybZq4#Dy zb>4pG?F^({usv4WW{<8ttIsV*X|9#gd=!ed^K#w0#F3vucz_3ipatJ}<4t(=ygBj0 zRbYEPg^g1ue7;cb<(7pvAt?1+dgYaWFCRjKR09-uFYT22#rM*2pnTwai3%=-p{tKx zEnmoQcKr5AABUa>`ty%2<6jYSO{Ra8;olh7$`_iqBHC7BDWt=H%hc}m5x}BJEMfbI5%0kf~1RJ_Zk`Ih#j0L zZ_`aT#_#)6Xr`BO=G;1*w!AL~OWY98q8>HosIM?|WzDNA+MY}313B|h1Gk69+8%18 z9`)r(!>9cG=jH6P&PaN4#EtcxhtVRihQyDI#hW4~KooLkQvH2vxUZ}Xh|J7O}=GgUIpy`#3Km3g6Tg-pj#Y`(KuPE4`C#q5Nbm?1%+y5AS+?IM|~Q5 z)0e+>499qHS)OD>RpW5GL-mv(t;tOXlySPSH^o&rJEYf&j9l-2?|aaO2D17%qx3wz zXF2}IKjvheS>VN*9|B@rrk2~&LXnGr!TUdqi>WOeL zokBvtPrVUXb8rposW{yrldD#CuGzEZCB2O1bi|XT^>CmqPrvpGhgK-)8v4S&Tu?5) z;L>u^FHS5QZ@dYe-zgJY7U<9lJDIa>wc*2tmvz@!w_L)s=CaGL;8c~N8J(R@Jd54v zd*AskXVRU|F;{z{tsPW0X0_A0pZJp#%k|gZm=(6{xR3py)_Fy2D&j4^wr?v;`>(WG z>^wc7&%#Bo;5>t4sQ&i1zePx$ar$X!n46S8oLdhR&{w`1ueP2e=!m0OQN4g;;b(Bj zhT4JJtt);jdprv*=gubFy-N!D<>F)f_SYz?1kycNOw9rlJmn)=xUhPfkna8IQJC z!(JU<@%P?;A2!6k;VY<@dBQ|BUee2ub&T(3OqGsDj-58Z^SV!Hq*z`X;OxtOeV}B6B%`?`n{QK4A%B!yA z1mMTZdh3jefb&SM)zK(+eEV()pXueMJ8mo2-*QuV7F)*z_JN&)edvfIj^xOp&y|S? zN`<0ZBXsxKhbhoy7%@G1$&+L5=9HF8G3buiV4X5_*swBcT~C9qs7NC_^AzkCyT9$N z9P_?&od1h=@0I(7xy92{bGPKQ-RGWrI{vLMyXY1{GA@A^BT@qg{R zAKJv2a?V-jU_`zmY^mMlw%hI~8!??8IebLf9(&y|ri)W1PtK8AgV)Ajk0xrHI4uy*a&YSlFTBXvd54rEk79~V+4Injvsn{C6~}nikB%w*Jq>(gwk_x_ z_K6AO%VUo{3cZ-NEndh%%->_w{t$-ki4(`+ADlg7b5gWay{k84lVDtrVkJ4&{!{2 z`+&!@jbPisbm}+3X&-GaU#-IekAm;&YpyQWUUx0W+&@|eXW;pImcq(25zYIV&wRH0 znL+Ze7hF)b-D=x<#1`7};>B}v-ee9Pw!4781N1VlR#`dY_^CBDAVEz)8(LG)6G_*G zHR)=Wd>dC&z82p>S=JgJ=lrHYPW`xmDI&spJa^Kyz!4dt- z+2{Pc3>`5t$8UM!@Qv5sNvm>b1D%{PGNh6LB$^#jMzE8Vm* zTA9wfJVxUE4)}1n<*qvrYFCyku}i!iqo~Ju-^b{rNd5K?j>lNJ9`*uBkB^$i z=s1_oIQOOLoSys=M~p4Vj{P0pvLnYd9bHcP(GSZ*k3P%_9cxR z)!lUKHEGghn3&UKn08-x(~UWG`{Ywj$y8SRk=lSqwBL5e?d9}epMi$EV)+H9@I3VJ zV`bRz!RfSWy3$7t*vs^%opxHZ07vmDlc#V%z+klAzU90>{*fcu$1&~u04}PV6=5_W z?STh;6`=`VIM_v?H2f3bult1* zOUhS8hVA&GPXAl3h|u8uk1^&AlxlnN-T5S`B~Opf8Crf=t~;)^&3VC2<{YX7e?qoG*E9&jFB-IoMDDK z<#Z$C#+z@(0ipd1dw$9Wn{HBmNylWM3YFLHv7M}4iyaa>z(za7T>@1RA!jT4kLW^&dVW$gL>{c;M(222AtV^vB|1p0Fy z03N%&``s|o+NBpK)y!vlXWc)`wt|ho>DsHWj%ITK%(>ZSTjs>yyKcX;JjMphU3cAy z!(}%syY9Yw*=DZp0C@vP3~)hFoGPb1)FQKmLW zH6ZPpo(`i%sW3I{Ej#aAes#tfel0$Orx@Q`;i#OL@ zdtLeBm%m)LLi??F=|E3TUxF!t*SEOy)u`=u*uI?h>od!jzWTLt`e~=;)53n&`}QiI zX60}V+T4wvPLhSt&JWnPmw{R#tMJeezSAx{m$T9Gk7p~+rI-9IpBCQEyX*$8pQb)L zG4Rw^d1lO>S)Q8sWCkH;1DkEJc^NuvZOkh7mG6E3`%GJzp&%%yGidqod`PuJP>JAz zP%U_aURN%OwRkUmMO#@q;QzAwE_Y3x)Uh0UT)N`MH!lohLr`d`fouGB@0Gify|hoL z>f5jiI&D-TGQD#F9b93h0Lbzz+=N9RG04~l#nlQ7m-0f(2gYi5^}5<(EZHfa{ai5j zi)cJE(MH_swGzw6eXx~P^|7P$?YVrp@{-HTe(&9vm9Zh^r>C66`EuKpu}@CGZZR)A znpb02vrM-LCbE+(M2pfO?1=2na93v9S6=2~N3mnrVOQWBI5kaIm9({p(=4=rsn0x{ z9pa~+@(T_kc#b_h`!YRdy2L8c8f&dpddq~AC9_$c9ainqY0pXsuWU15o7l&rG_m&M3Vh+dw>q zY4ViGXh}U{AhttJm@pwnk@vumFV(GIY-`Y78_Y!){f)hEKP{g<^wZ@FoG+*?@EN9^ zzWU_qnz)IB=75J;j<5dAIqjF7{Z4lteBi-oBhqrj@Zo49{WJA^?9s3@lO55QE)MpL$G5L<86V>t5!~I>F0gQJ$h{8|JWZV}+@Q3Z;a`}@JC&u>Xac#qf z4TJtGRv`xeYx|R4V-d!t^PpMV*kkF3kap+GD$2d2`7*9S~-X-HmcAKb!UF z#7>ud(b~~Z+{6Wpvx3nC=&9VcY5SgD>|f)D2f7w6ltr&#qYcD_%~0H14aVgb$@5 z9|E|sLTWHo19k9mZ&d~uBU6U9t-4Zo!ViB~zW%kZmXCe>&r0uDfZQ;Nqc*7M4^O-tZ zN4F-JZyudn!6_|VY)O9VWn8VU172+(f8~>y3Wyb7&lI%nrK$EdN#kH3krrbsQxrOj zP$2k{e;`2@DwDkF{5}#dY~!7dN&wq~Z}6=vkhX2wht);3!JY@&!g|P)(o%H2q=#2T|a5LqI~KyBrnV@o2RRd1!R^LweP&BKoGij0QJ?7QJPtB*8}j4MmWkO<%o zi0>t%*yMJ)2tXMEc-;_|9#5aL%nwY}Y$TbS6NVAi65#SqFV}oF?cJ5*u6*ft9(dSM zgf4!I$){#w9z#9y3G{-q!b#!f^L+BT{h}M1rrQ#n&RQ=!fHZQ7)@@0*9A?}E;3c)S2E40%nG|D908UHJ557Z2Ld~rLStQai63&r z5oJ?0Np?d}cvQU}H*BA!=_t;NfR(Ks76g28T*Q=5JS;E52;5x}T&VDAgh++s;8tlN zj_JtK2D}w4@$!*6a5yYJ$I_{JmO>s`Hs1kc0VWH|kvF8d4XQA(qq|yXxOEF}LSOmC z7ph%34&LE6g&MR#!e@2>yx7NV2*bh6Ie-Gf`gw0U>*()d7b}{&8dmuSPUc>kna1+9 zm$rjO+7j?__6X?$mvr)k`Gl82nKbQ1G}ww17x#5U%2N#>54ns;px-`uiSx3tHT?gE z?=JUEo5GY3_b+HzdJy%^{V-r#`-UKCI2c(1+p#4u$wO=j?g%4MqpiR+-C06*AC{d$ zVk!jNh7-Odb>vhaXn>}v16%lM0y3y2g#ptcVMNl~F*73a`#jqmv=az#$gp8(2dhR{ z2q27P2j$QIFb3t2lxV-|CpHJ_EOXTrH4pmq)SNnsD=>|-lliL6ORN+bWXQc8Q|->d!;UF!?A*dlyYeh7GV`o(TTFeM!G?CF@G4BfyY2kaTO!(O z(Ja6H-rvl5DrE5C}C+aG$-Uqfd@tL+#2uo)NS-i#o4mctvZzPR8E4T0` z2*O%nL#n1elqEg6Qr|W_AvLTmH}xmHg~OexYf4~OVJAHBNcpv1=4*-&?aDKTiRV(6 z(EPNxx8=3JOC^2H?Xr+w6a60((B+OP<2$;$49;N+ai|CLguwC-1ku=8D-1zpKnPp~ z4;`wKCk-mY)TtJ#K3YWEDO#;fQu@A{mklffImSswar`!Mrc4K7 z6;peM(+U&H*a`-~r2_;XJAwIZZGLNK6M|{zY%s<`I)fTs7*X8#*wKSq<SsNIJjjS^=jg6Lw}eIj8E1JinbYZv{Nxn|6;C)(ffYKK zkdGRJolK_I4r6fvWLmB~l0S9!xxvRe))J|IgI5)Vv;+8yU2Q|~hOV}gT~Qn%o?rR* zOL}jgt z;%y!Wo!|@YJ3BP_Q*Y9>aLlWhAbHsI@_y0)BQV9m2#W|tej8N>lib(hg(RsHXPIe# z6A(yn%}+g3zhry`R%e<9x3raMxGXErl|(fRfC^XR{49N0mRIr+Wm~k@tJAjO?e8lo z+mQdvUp6@_Ji6kJ1Z$HWOo1g6QCTZ4@l#m|U`L~)8?OP<&f@C}R@TuzT9+-yCEF+v zq^X3fzm@TXA)}g8gM6j?D@-Ybh{ojQK^*dDM@wl;4b^!DHb}S- zEa_4%aV`X;KG28k^|E~EB0N%8Mi+NttHwYc@U3((!h6yJTUz;C|18e-OHl3C;t)in zQ_ULzrB=;z1a0uB$kcYT!-^kToPWvJfN60~85P$C)3kZb2Yv{(w)14lBObxUA8zVD zzXqnJHJm3e^O~>CoAxvlpSG^j#w#X_+|{qz#^T=~n=&k_hWV>`z_L>}z_!(jEcBUx z#I+HCZiSIpn8Q{Op9XwjBu`?EdFAq6P_~Y+liTun5YNyg$y0FdTDW;=zFtY!LTrC) zg0_60gxm5%ll?V6OXK*O$p5f_Zf@vva_6Q!-3zYKgyWz&GPCG?w zOa-Zy6_0~0>CJr_p1Ugk2+2QR05GqkeC_nWvJ6JYI?~t1Gk@|rYSLMC*IZR}i>uQi z8z&t@%p9fpTY|7rV*zCF$P2x|YNsL;Ueh(dZYb22rnXU;r$xS#9g`jq{2EtbyL~}U z6?enq7d?r{XPg2_`cjEnmryZGw_Y8}=;0)aFpiH2-aVfqUe!s6eDT!Ebj@lFikXu2{8 zm+fnYU>5>Z7{S9dh9#SAnJoNP8X1uw+8(4s7vWXS-ND8bmRk#p)*+6;x7JUX=23&L z@CnF$s8NeghbFv5prTRBZthdH2G!uyguf=wt6;Rr{54;yOqwVGf%ghO7@sHNrMZ0I zU)rmIYk19JfAO9#FDl*K)n~qwH>n#sCag0$H_Oyz*{J{6r<_Z;_+<>cGA$MKoISgW z6_$}($=^zC!!sQ*1zciY$pDu?Xus3hR#5Jhi3G$7B`e_-Hj((i&k7eM#ZE$Jv8j<(qiN7IQ@-wACA&!uXU& zudR#>P9FV~^wNR9wl1}E6GD7D6#*m$b7@#%`)uBvk!U#%fsdcnG!_)$k-{-VjTN;sEsVd919g&_D9oyn%48NVUx4fhUFBdsN zGwB#CD=H?jJR2tU@j>`aM1-OD(A)P0#h?2+_4C%m^|v(L8nlBjo?1zL&D{)Bcedy|!4<<9b#l>V?O$jw`4XWvu327q!$xo%nD~Wur za`F)-ZQI5-NzxLLuqKabWV|piM7Q_Z@il#V z8I;pzz$I>3D8r`F^FqWHcBKWlNSVzZm%2o5S;Unu-ZPt zX@z#pZ+M$tm~k5nun2U^NSy>h7-@r$xK^^{H}!sx~b!D!dBN0XzI+BI2Zb1{@yjE7qmOS2KlJ zyQtCyFA}v3VpG5yVX|!ae`SmWhz$hs(}kQ`A*1wOBi^9Zh&btlCPGJDKs(22<7y&2tduJ``)wH2J@Lh3DJUzz#Y*d+y_W-p(*Up)QY@*3Haq9!p)!78AEZ zcp2`W1DTt2rB5qJli&@$(nZ?}zCoJ*FTs*5;Qt59SQaXy0aDH;V(bvTnU6iP`*B(+nbJ(Hfef#Xi3#+SU4~|0BeNhouFo$)qu~QFn zrJfo@xU!1aq#*%m4YblVbt^as39iO@@2AxmlCojKP*gaOL(RXP%1SOT!PgqsUswOK zSr=_miD8Guyq30Eq-G?nMq3OcrWTdig(tZ~Qy;i$+mMHFacqCZ+qxvpQsK3h#8I}m zrSp)r|EQj)nl)J{=uO)ZYwx}kBj4=@P&*z_C&OGDSWyG*yDN}y(t%uF=5C5@) zKhCRJ{aF2#_+S?ltY@uDTcZ{;pQGg_HD5%u{A)eibi^!kB~2%NYLsDKfysdP;tMl! z7Qv)RlX#bN#Voeywq`#(neM#PPT4c){>5=)CzhZ6^k-=|agk0vd-W^_f8rDI#OgjS zp|-fEkmk$Kl$*%cNg+yMqSuLA8pa#eltif(UvE@K11CQzZ|Q*c-pm2i2K>X{*s$8> zPxC<-D^j~6w-7(7eG4Xn$8Z9IXiXckrED0U&ZXd~ACR%Zh%=8(9piKpYoH7$Q=0>= zH=5Pumt0ytvfqED)FDHLM%@>@)4y2&b}2q~rx&Wl)~@ zj}W#Dlkk-^d^>>*Eug2`RIjc**yA<5Tz2uryc;>UYFgxT1LC%C-oC8Mt17Q0RR?d5IzSZUNelSi#VchT2gbyb^uncuzl-m9$XYeJ;0FH$@3)cgwi7oK}Q zE|)#5!q3vzo3`IRKD@H;%LtJGP6m)BVQ{lZ8W6je^ zkDA`+ym7#`D$-RQjGNqyX_3F!T+c|@-jQjvOEFHqS z`r|6)^4)rtd+)d_!fG|%CG&l{IWuRm^4FCYhF6TrKb_;nLL9V>HP>1*%&tvHPXgQT zutPbAQFb7CKYPSsrB~nH=-_&i;D@?8zD5ntNGpES-_C0SE`?CVQC#hmZO5w(7vd8r z^O-hvGtE*0K;yVHw0_phMwYG$y=ZYMp^_|yXJGZ{zFc{UcjXTI2|fDk;ghl;F3P=1lH6gx4^~BM zhpL@g2oZb~w%)d7)mzKvpE-7?v0be#Ab=6 zNi_G}cVBrD7crxCb8TV5=2P3k)!(jhcYN99e=kFa4kK@`*!k)(o+npN#`7FG40MmoR$WiO$ z#3kRAefY64dDpb!#_Bor=+1sWuMO7UFax%{TF-|6 zxUZ>5XHqW9s`RXQ*Fe78iYE=NMfyq?Gn&8oHJ!JX6j?=V=!*RIVamo=A2OMr@Vlb$e%?#hv6jySogR9bT%-o=fO~2X171eDdV7Eglxu!X4s-2Z$j*|&)&S~JScfOJ7`L!;0#~j0IycT1O8sYkv3Jh zB~4JSbtBF?*#5$MIhwWgksh^erGXn^Q{RSWzFuV8+g8@~alCwt8~b=EV{4Iq8*U!U z&uo4kU4_thjQ7mu-=@CJo8?@NKh-6Q2a~6+DSBKEjQ-3{Zj1y+YQ@hAu;sxSJlM|*wK?;bi5RFmgERP42|WA z-xLm=xRLYry3z^eVnlTXaCt_|o@h@$Jv2BBX$L8uv4o;=J##7hG5d4H^hh z*=0{hA3l6|8S~g^4q~OJ(7;VwGMfA5d1tfIt&et zrc29-J2Z~ZCo1Hj2kp*!n=e~yz83;l1En7Yo~PZ;90d6g{pAP({>1X zNSZ{$A)GU$;+cWlU79{=J46OpnDrsO@ySbo<)w`& z&|hK_W}19}DcyNYUSZe=4D-tCZ{%$SYyz9FiyVS*%1=+iZZ#-x`;Q;{1P7&VTHd?Y zUh!+?>CG)7FS8P=R;d740xEM~o{w0y1J&t`g3Vg?f>At?S8dL^i~qvdkw{HmnlH@O zgEacIQkc!3J0og)Z84k$ldg)B^I7RdX zpOyReDl72Y8+=W-2r~Jiwq7CHBab3j5ei-D6nZyMU^^2aVS(~wPV*~(6`awo z5W#bTmF!?gyelu;_XY;Mb|l+0HmESf~#@q_Qz*=`a}EgFO){OPzrqL6m#*S zX7R~FY;Z1Zbgqc^#M7(OL_?)n#l!yD^d}P&X**_D;+Q9}mJ_08=G3ZK{N*nqO?P(pw!Ku9U%w9+`ISeNq;g)9_pVcnApdR^W*sCvb zUgmmjl0*lD`87x@Wi#rDEkvlA63vm3m)}kx&5aeJQI)w?&IA<~#2NtI)8n&gyhJHB zBC``=S?06BjZ4KKq?e4S9itD<^xSTsU+=#7DYDbVy)XFMnFQ5uK)>?A5A7e9wLN>* z#!x8ewbKsawBp7aZ!EK4niv&i=#tN2>$G($vN=A(Jgj7 zsAXp#HF6|}E%c`@2nO;^96Pq$fA76zEYp%{Q>WokbOvs4&~#wXm6@6hLWpk8OVXZw z*q>94V?zRtjXUGT8D%p5uKFUdo}4fN;XE^)Q~}@_ftz8snfmNAWx}{|;E0zB8ebtT z6Q|*+j0+W}SJ3KHYs{F(aw4?a=Um+R+NoB=AH1jAczoKr>#r9V#d=Ru2%cRn6VsZc59AovpuylZHBjp(M;EEGnqHjMB3niM;^=p zAJ5?XNd^{O0HM-~h2$O^-IwFZ`1nI5HMrRAZT% zd32dPB@PaV`T?S4 z9dy$(<>|>~3UqlA=K!8iCDVHq`Tg+MvvX`m5b z@6D=1J1;A&anZv^2Mp<#DZx|t=Uy9aN+0gkXA>F^omgAe2DnMtaHEZ|J5ap%@NrZT z50}Poz*FVVe|%zKFa7nYLk}xo`r6m#r+A z2d))A?fU3G>7xI>uOq zk3PTpAfTn`DL?xeo#Ev&4Tpe(h7Btx{OCtz5XW}unx;xdQ+5 z|L8h^(`^p?*g-7!Z;oI_pd(1&T-x0g+OFjeTtUAJFR?>mY?<(Z`|jn?*dLSw5BzB1 zMC$Tk28Y+XIK`QRLk{HVvVJ%XoQ^lr^Ek}((AP^^cG-2e^38953s0OF(vw0v2=0v; zWIXoW%MQ8{HXA#r9$F{T`E;SIBg76ydGk3PR6u*#DHK*cneKLDfo3y&#=8xm9sT9i z#~yjCTzcsx*+QXP=r_7-o39^xYBblQRw8g0suj z(`Qs5?EhXCAGpADptl{7m_y zb*VzCePs_9zbaJ1QF{t<E0{CK*keJ_S|!?2(0yUI6>9! z)VyxL@#ZoecfP|o`DHG?-K~p0zUCnW@4x%r;JN{tk)vlu$#=e^tT$p5TF8uY=C4jq zr&|M$pCi#4WF+f56)kJVjOoccWatPE+gv%*r%Nupuv~KCU&=+7T~T)0b!SFcI$c9| zH87X4-O`|u-4k-u3Uo)Tu(Fd5MAOqwCV`zwE}^U*{60B;0w-$!pqz8|IVn?I+-T|Y zxu^X&>UuDPMLGJN!^zQp&OPtk@{V`Clc}Y&hbF*c7GD;kfq3crX&Rb=*Bqwhmt1&J z`Pe5umZQ8pe8j0*+#Vz3)TTYfc}XVtFd@LSZYShf=5c_*x#VQNiD*H#Fnfdc59cJN=^z zi3G2b#)JY16TmQT4(wnzx(G=WiKp~UL6lg-04;pdkT4%r`=o{I@`?vf9oSWp-Za1< zsFr6+9}O1_zs`;IyT0R2JCz%*zm{Xq*JE_mTjYXx=yX-(fd}r5pz_p}p~HsfP=}$z zhT->pU^ZzR6gL_{DgVUsY@4LG^jvHO$wFxOC zdUo&j|M2!6fSMh}oo`Q`(Ijb7TY=j*Z)c0bsqK3geTR>Se5);?{!lLHYY?VoweZPLeR6v*pDnXqr&Fiy0>Am@ zTl1NB>sw8zF;Gxc?XF8^N7|MP3WvYMMDxua5N7hd?mwr=&>_O`dZEo~_t5Y;a&y?4JIs1Pw&jO6jy&QB8Z_U7z^SdgWv(eI-JH z;kZwZ%@Gdw>XMDwH*|bzDdw;0j|w19Dv5(Ivgp8*o7^jVy(5L-=ceY) zp3|Q6w5PTYeDK0{2S#JR{n*`1kDm`-V&I&E@awua-1u|c`oABQ_q?Z^*UmZT@qC=% z5ox53n|*sGh~sJPi6K0XPiJYcRE!zW@lB$;x7qEopZ>Hx{@f?vSve8U1S33Mf8Dk1 zipzeQc_-(bG~Q>NaV8&`;FISl!jA?6<7;j=Pjx%Pw6-^f>~CMo2NF&@ExnFCkMkG* z?H%vHpnRe&U3z4c`yc=QqwU2nc@gg{-#4G?`b&mO~9@FT;So`Au(btC&Yr zk)0Pj@~~qt)ISN#0veTXn%&52kuBfp!>};#*~f!(IAP~_HNz<9%50?S&h^(_-+uVx zi`%is93Pp>w;yRep3l+kHkZe7sOuYVx|xqh{5hqXk$KJycvJT9HNVXL!ryoypJO{V z9*H9ehr66g@5$#!RTZ+HzF+Qfi06o43~fgrIQ6N@U2Vq^h2OQj_14?kJMpkJR!1Fu zR6b~-ekim5{?~sMecWx%+^n*G{p;UoAO7HnQfJbB#OY_WfBNYE${ghX^;^GHUp=P{ zxC(wJb9*2BU~OF8pO1_94FWr-wQJV5H@@*rbPA8l{H5*mKfd}EMnE4*$8I^4yDR2< zAQPu{Y~OK=)G14)V*LVvW`+dyjL7fMAS*n?_@J#s@&GaS&IxIvG(_4kR|fRe%Z<|j z4HY3D0GMum|75TmG^@h~pJh}v4xx!xH{K6)`fl{Yk2#7jy!?IpzkmC;+p_DHwbM}0 zX&4}1IZTGUCV6*U`ZFJSIuneW5ainQFkk%K7nuaygD>6uPJ0$BiiaP0cpiq9UuhnH z;tA~u=bhKC{L!WPI*tN8?(~x~2eO>41cx5R&;)P9*Zy9A-F46^FKsu5BYunSiH!FT zY^luGHZ*cfH55E0W)oG`mh6nZ-Cfaw$mA3J3&o}K}{L+`iNbc+V(lN>_7^ZDz zwb9kigO@CBFFpKa*|KoWHCMOKutj4Yb9A$K&%P7-t}Z`8y6TQ%Py!$=vMK6946Rh;;)R8hi7kF0ZfMAE z=Gjmuz5V#CJ*A}8ouiIAI-hg%V-F5L9Lo4b>uaeO7ZS|taO8#?ZwRw?xH00$Xvvbr z8D?s59MXIb!}{efex62oGGBjU*bn~2ctdYzsCU-ck1kIfEOwj+9C%)ZGo*{{Sq zHLn@`qP8Q1m%sd_?W{+hm5Ki|PB|@KK6(Q4YkvJnnc=s@JNw8-K9WTyR|TExTFxi; zexD)a^I7F|SgnC|e$CIAxxn#9JZWo9lQV_!NeR+kMBlZHS+%6Fz$4+dX}Ex$k55@e`-Y&pi9A_OVZV zy#3Da{w`l~xu<>M%U@y+g9o2^XmpE(#nYQP%cXahj<>aa_S!eS#Gy-1FMPpI?VzT9hHi z`n4OFlX`l4_A{TwaAS|!N`P19+>1jfzeMw_XFaq1^pdM$u=FtTQ`(gbUEHu+ueEkq zUkau->lcA$Vzm6^*?G)Ox$5a$pT^esQ}HGj&f`lycn=Pl+!wWZ|c8k8Ni@;DjvUdW3Is6Tbq;pmfzJ<=Nw=3;uoib(Rev( zu)dU+TTwJJ>Bz}%d0bpEzeC=7YM{FKv}1vX;HAb%S<0`Sp~lXS;(nb)AcIpUe#lp& z^}^@BfDhn4F}S7g$6MCp`6=`B=m1~%g5TgvP^;n^w zdxi01DSP@%=0g}Fan27xWPb&UI~Sv{JB@UYxeMFExeP~UVKry&mU)c%T<=9=-F*&~ zMciEG2X_KE9fLy~_ZvCc>!kUGd>8Q}|MP#gZ_(>r#$>Rb+G@N68;WxPE-LJ?Xi>h` z=mY22bG9lte}h>PF$Wt@+-k0~JphUh)v!@1qt`B{|MZfdh6j~*K3^HW{nl0K-S)%{ z-^_d(-5ebRkE76VwsWoA4?AorjflNsC}@l-2I7{RZf1hrugYi|X;4FlsG|7=X${oU zrAzTX4xs#c*vJ5$?X~?}{o#i%2A4ci9_aY}Jy+JMahK7TQ9ZT8j#x?^GX*ijJxmy6 zJled2p8Pe3qc^-Iux@=YxPrE3^_s}!wp(wH@sQ8E7(#!6PrV&ZXQ2^Lo7V7U?&FU? zKE1mz%h{v#!;62&yd{Q`PT9`wxRZ|_4J+Ljr}5Vy>RI?vT^pL8F}ssTrS#&QEWaH* zcQCw<-a<#?5n(|?z0$Y~>*miBPdI_{xeWm~g(}u0mh!M%9(Ti?o3fOZ-qZTk>)O@qH?fy?(r|y2Hsg5i zEzo-0b6?$YFfO)?+bFE28?L#wExY=fb`Se!biq|@UFALY=1F`Maw>b8oD)kUqybua zd5pvPFsl?-Z~bP3$G)nEpL9azB-S#&v78mS+v#Q9V{|1G+82HMI~b>B%mpop(r(7; zQ%CW5zVx}T;88p~!#I08_tPA_WN~}Ov!B)8cfnt_$DH$+D90j(a4vEC-8<(YuDRwK zwS;{!^W#aa;Mv6|J@qN=VYs|{MK<(PaQDxB;*;C;*IdVTieuC0+=Ahkh(G?(Pox*L zq89G4pdE0~0a>wf&cyvni!fRa6RfltDjO17A>X){IXe{0P=YiS&Ry-UdS?C&yc<|F zP!0y;9KxS^`tkV&-``-|WAv;<@G567_1tb@pVmnyo*KHo(#JY=3&MKv&6)2mYUm40 zurbZ1v1&Y>7`9W$f=qy7PqyCb^hO6B#)Mxt?&s$?Jh)?=%1Q+4hzH_-g9O1bK2>MGOP<9iF?oYaLi_ zjq07t?}CP3=qUpb8wpAmcWeVI*}UvNH@AYgcTFi;cFR_N8TUp~NM-86ik@e_N`)+m z9b``?^9h@Pq(J1NmUUz2OLD?0yv(cEs&P1Fwu5m_dcS@5&-|#yLW89+eDXjX%ETv1 z4nik2G6r?Uxv=GT-j#);c?>DnF-lOryU*uQAY|(t>mFPm!m6))+mXwGD6i|z4hih z+8bW?#`e8`|4Mt+8(!aj_m#iLV=^6xUzE4 zA+y^d7$+<9+;i8o^I7To%9p;>KFy;!?kHb41Mh;K&dhr{0e#(dOoH<1FLsXmY7$}2 zW~J|a@BJ%!_w{WS%4_dE=^;(qIA*-J}Q#I3ohYGU+=>V`RCu zNh*s~G*nj$r_;eXk!%k?odpaVSQOKWv`T?@j&LpB-)wqdqc&jxG!{1M;1`MnJ>dOW zAw1{tkL5$J@8SB%89Lh2UwiF!Y>K>PG|%X^0XxbYufK@}fpe43h9SOHt5!i2QasAuv}KA!Yy^gwT{3KR1Z z+%6(H0lseiT4cL7_N4GgU(XP=}w`XkQW4-XNL5Y!phO)8l4eGk} zW!Yz0F4u8>lQ7BULtsK5N)r{e@3` zn&;HqbxdPHFc#4LB&RT;y_HwR)LRDFNy{*74tq@8slH_K;JR`jz(Z6Hbh>SeC1=x(ZKdan1)HQcwN+G0ziE zJ|T3hBt0D)!)CmSQ%*mvy_>nIudz?XIjX|qvFpHtJ5B56TDdn9QibT1o z8+Y3)CwoCB(v_u4)E|$uC^I$sCqWjG4jq``2}Y8Wzkflqt5GWV#3{xsJo#Tu3X0h)YuXnOxX#{<}s(~ z7@xiN+7nONUEv!ulv}fA3lr5C_I7t$yP8MDR@{ie$B=GY$AZPa?S^GPYirOMd6Q>7 z$||Rx1@lkpDt~&SW#Fqk$}{k}Eu$QM$iJ2PF;BcY^wl~wC<7g%2#}Mwtyf>Hs8Om$ z4=aG1W-zbD!u@gbBPI<=dF;r-ItWtY(I@#gIA>*EJ0Uv>dG%l9eymr8@QDrSx}76D z0xRtTrT#QlX5nZ3-gejWoUQlqG6nAdCwy_>)%}No6hPRcGzJQ70o=+E;i63ac{;1X zw5k;0l~Gsj~gJ@Gf(j8{x5U3(5iZ~BD@^Drh56Oh1ZwI)WPom#_&)s?M%{MK4`O9DB zLF;J@FBWDv;m+-K471&hegs3FIrHbXZMfUY-@m+|ZxDdP1@{A49z%?Y<S_Jre3ASM9F5?&qxBF>#JZ>TvMn(@$dC!Vwv=I2Wb-Y`_VQ zB4}{V)hu8b{F0ZxgoT>DvRN|*1|Yoz%k1#lXA-BO7s^+;S{_$N?og`pAe{utZXSba@}j=ygzZ7;b>u6Yq^Vw!`^2w)U zC}*eT(9PAtwQG6ZH089;0Rtx-40Po8#$h{%o~v$(&l_rN=gg&(AMhS{;6ZF0{Xtu@ zcroqJhsC#MTgmBXx^J!IF3;k%w%x~eDf{gV;^hfKt2{sBA`6j6w&V*#ri=&UCxR>s zXI{f}Kk_gI?Th49r?-k%`|+Ke|P4y&N$=rOvJz9WiL;UJ_UndWAZsbdA9|+ zy<_TZdro9XgWAmYjx;P}V!i0<+W@LIgsMGBPVgFR+$yKun9qDY=5ddu;U1VqXl1KR zrYm94lF}X+0O~F0{tOp_8l`M>0iudbASLGru`P{aJP7wLK_v;FtesF8a z47Tn#UkE@R&)H|+eZZ>B^**Ih61Gm9!&BOkg)m8zi=jkhEXk5anH@eG^vrxx!bUkJ ziqUnvJ`EP~ihKm(r=fPyeu#Mq>0}srwUfp!A_pl=lXP)$yHsiEYBWLGlzmI;o!g%}%$Civ?D0NFYG**B2 zcYoiOEMAh;Ux$!xeNbNVV2I%yNg+{_4(SXg#q=A6NBzjK?<}#xTw+%^ei0@;APrO@ zIs~&ozy9mzw?{pOjdm!*I_51t`cb9Qnq~fX{>8g^-LLaDRACgjEV0$-JF2F$wc-(HJt`bdv!OEoqY+(zfx7z2E86e= z_HVQE_$=P>?K4vIGQYC|59s3WU(9^ex7tz19v&+%e_NTh(XBlUV?+PXFk0z>iBs$v6;~23`o`CJ^~)kAFz2zO zeL21MFUA&`>3{Sk#Bgpp{F>rc94q5dsr7M1Mt5~|_E~Oisgx5ROIQb$w z8-vE(VbSr&od84)=j}D8vl!x@vaf&bKiYHt%X8R6H8;J#qMnMEaTQ+4>tFZ!_LmNa{BX7h+wA>ZghJRz8r#~32=ry=lRSrttKrtEE?6}!B1l$#ozN zcwr82#lQHVgW81`elQCs(lp5X%(=c^1%51(22@$`H(st7MBpP=#eojGGMLpt`9v1x zQ+^tBaVZ9W34w7KUF9`SnwyxPbQ1iLk9=hN@P|J{`tdOk?(6#IH^0T!jZ=9k04vzT z(9^c9o_+f}-)SHE@Q2xje|+w<`I+`~-0{bSH#-IAL){E|3{Hu89Cj;j-%0k5|M-tQ zuJe>^;poFj7nc6|{qM^jxpbtdM(4`hRzU}atVRX$`XL5QzMbZDh2TwGeg(-SuH*Lz z3xHG&%r3v<*0yBnQl4ddVyyHUhJ;Quueg)FDZndy=WZ0nzWW{6p7ASBYp;3rtJ{(# ziy2nk-9E*Gzw?=dj-isG6@vg&2!%mcn=joQ!ycNyU?Tf9fAGron8!a3NGi#>0X9d@ zWK#8WpZ#3B>BgHT6?d;a_G8b~Vk(RI2FhZu{BtJ1fBl8e!?^L#Dz3Cjq9VD>?nOmyFQoazAZNdZbYfnpY^OPx}WNqY|hkRJ^bY3 zGt4{s=p)MdqjJUNe|_X5*&wKqzLyobN1>pz_MVLqJEpz%b+2oG{*Je|bIy4p zhIb`%akJBDnD0~!vMWX|Hr#T{&F!Nf`6$NfGwpPS)~R0#^ti{I8^f)E%;rWKj%6^| zqq`&D&7WzADj~`?GG4+NN1vww^YJN-vYsfK6vM%e*w9L271nwHuLf2R#YGKuq0N&Hwci&taw$VZ(`{h!M=mpd{fp2Z5SUzhs3zxxN~R-e@tFJ8hFkFV9) z(7yfcZ?y|9xPYw}JU|W+w=?|w`kyy9(z=Jtpn-7?)Q!e3f5pq%EC1m4*)ji2p6>9C zuG8|c`FCmO`|ba*=((%mU;4_Ilh@T>=dvw@1%%z)qaKk3m5pPA4wQ(J=l%}LqFP5m zF|tBXFk!DWo%teP0LMVBO&i=}ft}x(&og~dTnaLso$uw2!v!yTt9a*z9LKK960y%0 zU4F&od9j}JLjU+b{zp6FXx~?44{7gM<8+lueceh4Hd9caNqaWlfu6!&z3biWc5PHf(SV^SE!PqGrD-tdWIj5a){7G!u z`+7U$)JNpCy!MnA{OO;gglwW?W$CJ`uZ(gXbJWrGtRA(eBHVG)?d>s-d2A}%jg!8` z)5fb|bohBOy_H6Bi*KHw!rZa{>Hqz?cGv3Lpm}op1ViRUd-4_vJhbOM|9Py;{)CS= ze1qp4Ps!$2yP5y?jz7i2oSz}GZ#eiKJI2}gz>46>4BZ*ZAgAws@7uiL;bVE1k%mSo zPNCuUP@cpv;Y-;K)}6uI7#gk@ES$@+44HW}NH!)LvS;&n_GidD%Ahxm?F1S+4Gb5m zc#KT)SdqkNT%7ZB-;}CquQble z&M5%-*HJLNX%27axVHgm0|E+X)8bY!S~V6Z?@x(IS$#(;U$PSx6gm!bG-g% zZw%W3dAQxpux{r4RUTz?4$Lh;(y$&~i2BuM{VG!~H??=a=RLHQv$Ese{b|m3`K+N^ zYn+TX9Ksy+;YS|Hc8FV37Ck$4q}RJJ7Ri#wOCKa;{H{WkoIJ=&@afr4fx!J77W&nu zL0~$28n!b&^u0WOnDn+4E86PY?%)xUdMsuW8P}2LUK%l5s_FH4yn>4KA=$GZ`?&V6 z|MGvZ@Hg{dZav-K=I_(aJ^K;u-#_=6HgCU!+VA5D>^Jq07%v-%s+tXnT)8oG9s>ED zSH7|>Iqb0Zj(5GY-TA#AwnL9Pye-1Zn#!L`_)}=)PUdcB;?`bm!@5oFimRDG-*1oh zhp+vEcK)wFw>^w!-PUYc&ng_1u4T8PlSh}l=0JRviSLK{?C1V1LpqJOc=c8u&Sa+J z`ZPkhqz&1u*WhI>WqkkNKk&Zx<^Wbg(N;D|D(5Zjtj0{mS_?0I+I2Bu&uDqAc z!}EA*fCjxD1FUhcmB3nc>e(a=%3Q6JW`58c1=RjNUq6|Kms@ z+!R}{Eddmw5TzceaPK08pIsHcVwOrMcbW)o*xHd*`3}Vz}D$SlIMp*2f!~Hp5MsdZi9;x8w48-yFhxG~+Ovx+ z8vO_ylMXA+2P$Gk}Vl^8MvM^*Gfj_%LTGgzJ}4++hhDjarov|-NmmsZZV@^PiASJ9DrgOsKRqfNzQZUp zN!tQhSw04UHOcB*wpnn!j$Zpt#^`t5NsmnLs$TkYrN!lc-*D*jRPJeU8QzDfr?L5T z(>7Kh;aSa(SHRhaweivT(PMG{k$?IpUS#%LRQOY}A|(EMR=ht1&oE{rh>B-&EZS|;#dPut9&c(>9+au&p8Q7>S zi2K{zreTG;jp3S~UDbTuao@G?!?hY$SGtxQdMF;E`-M~jWG)?)TiDxfyFIV{y^ZI& z?#44VPPyxqsCY}syJYF18Gfn))`djHrFZ4Cl`ARB?Yy$Yc^%nPX`L%MK<^HDD+4<% zCtU48Z@uZ(7$@bcqFeXQCuJ23+^#swr>ku@-Fyr9*p`9Z#AA7#7|zB+tf36E6gE>EM}@;DTZn(Lp#4hWP5VUi{+?JIjhCoiPPY{@`HV*ONJG0 z6X0nkI$`H;y?){opJ;#bnm00aaSZKu8Zy42z4}jH-Jbir=K@dT+1i)&fHprmn1c6plFD%Ow+8h7MkP zy%ItiD}}ToRRR?-^G8;oh7uZEX>2~eB2I%VkuJrHUuCcd(151m5Ug&lzX%%zQ^l;# z2#7oJ*hJ>DgM0>&e)7xLF8|@H{-9lkN9dj(%fFgc!-wP9z54a9XZWx;o*D+mN`+7P zic$8O2tJ*iJmFaxlHW2LtXz2`GW>e)UZ;&3T;Sr_&=M?z`>Xs}Cb_h-rKL>8Xesn& zwu4J~dToQ(;9FG7W*us<4bIW!kso=01x_(AHfUueeQ7Bn>5I>el5R~AkDZ1}963YZ zdUC~3d0HmRlAo0ol9{LOE9Yx@S9dVQ?QeVo30-~t}4dfYjWD~~I@!S7I>5c8n;J?$7j0;r4W z5hA5TC5q`VOy#=ko%pR&^@d32H`Rw+!MGI;C^m25kq6uo zR~;P+*hqZB+hF4!jq{7mRJ4`jvrc+4PNu8$zGYx4wPYpu=!L^fYsE_DDnj@16-#V| zxzV&XOTrJma#w!k0GAQ&6<1w~cg5~#RssL{e}A(5%cog6V^zraEV&YQ%Z=B!m$T!3 z(VlzM${F-s#mPbeI91Bb-_T=iVXi@bHo14o21}#1k)=_~D-~}g*eEpODHA&3(8c&v z5VG@(8G}dCXy~qFmZDa@h!^Qs9$@6hxjTDJ8-d;`!fR{jwXKU7`8)waKQ$B(q4h^l_LR?FSD8i5@EUazM!w<2@>x6zlBO@nHWXN$0jzkSo-MCUz_Uin zFV&PBz+)zZ^(--e6Q@y!80sJ;N4z&-sD{Yhv=}1N9fI26MtBP(c*P$h2a${r$Qa{C zseSHI+SL&<+@}F*XvI}mWWHR#JMu6^{M{s&Kn zoE#6_k%m(MIs%r&a;JD5laUs}z`06Wx4Sx0Q-Y~dmD2)6peYW_6YVZ&^fTAGw*i~KY#3F5UAjj?hgBW9fwM^l)WeJ zgm-VwR<>admo+ILO(s2VYh|=I0OjVs#iU<)UUXR7XPXK002M$ zNklYj3geT z-IGYO(F>>UM31N z1$9t{LjdP<2HbA>2_B+{Alwu>4TCZWqh2SAk|0B7dx(F(`LMTXivPz-g(kbPdD9UDg;bQm|4Q>d+5BDI_L-L(r%xbbZ^|)*a zvAm!9)Th}>a$9>8X}+1lDVQJq=!e;UbI9UDaV$3GQ)ZX_^hfP2teX4M%igJUDxSM6 zt31Z+Iz)c(7K3G>m9=MC_7G&=kFHB$EvN+I4Kbr#RYHszL7FK) zC*xJ=xmX@oUvO9Wi0e1n`#OxTkgt@ml&e^j1JJ-*42pTcDUC?GNCcN~Rj6EyJUmx7<)elb;=_ocoW{vlWN8-e zV<5oo@Go>ZX#5nW#mqJl8?hcCS<1_*e35SsA~ST3gp^dL4IYv#foznNWu{=fH%*8P zPrXlhiIo-8l7FC3SqEy#CaQvkAIK(n7Ie6+NRC7H+)p%=o=|cqF6DRPUQCv$!Z?;8 zN)$5O!}#?OcOQNNZV4-2$y6STPIXkJFQGl4SF#DE^jwnQ|B!Cl_;nJ^B7DxqsGA+3 zXMY3U$!=$||17 ziSk-JJ7_QZT!`tS%n=Q({B=K-+35&C&x1TRWJ6U3Yz#eE5R;~U^n!F|b0$8GU;gMN z9QKGw9%Z-k*bwAj0>!iGw3!$TVOB9I=}P3qJU%oYjzr+^djI>|c~5^@o601%J+H_V zvb16(HH-v}Us^NQ-5@0%c#TKr-~7_fy*$B~e>r;!UKtgUzKU!Q_r?)CrOhPo5HqBu zkbox;7rAqm^$EUA81Mp^It5oc5c4K~)lpcBN1(@q0mF+$&r)aJ+apA_;Zdagg1~yj zlX8v`IAh~63p^OYahcQu*rZwavCd>L2tNE(p(`$F!=Z{>G>UzXk!nGRgJ0;8G~Q7X zolrQ2n|?}Sq(9^jJ3W5V1#ooojE4Ka)DP ziBw~I7-BsEXs(fjdd3lkknb6DR*xJy`o)_Uyzu$d<#tw0e?Mva?0o<$g8Q~J_ODEM>vIq?mc2X!d9z23vSJ=i9;MAx zWznI!$_olK8LE!O?Idw{kFhFwn!j*X`DCW@lLAgln)-oJuf=fsqi`LFYYmL6%+5Kw=kLcq`Y}7@zr%y)SF#d) zZS%#Jx7~UdE5duR>2yK9li;hr&*UkNQ|bJDU2_@OvLkNa7&#{)g=Rp*zXuzpTy)!| zzS|`$dTvv&!A0@qT&8KLDKOWTr4MV2kJ6}c0+?rj8 zBL=<-6gd>CLTw+a69$Jy%;mj{_pA57<0!-SeMlNb4~Ki~|&n8gr!ULY7z3Kx7p zc;AD(nk(`N1IfZ+fJ!E>#oW(5w_p?4BF7dPZYalgZ(l#he={Y=9a7D**0l~l02yl|bY?{BM z6g+Wejpqk`D>EJzIPJX*d6fgco zHOF}l@ybH!8d(N@yr^;n$%ux+?I2?Jro=Qf_$5AgNC-I?s*(dOc25WWnF(Nd6saG9Z>weDX9sI;n>N=v2lB6Ga;u94V2r$`XV| z3_WSGRiRQa0P^QqSm2_BU;qlD$(mMfx{rNhq^K3C|9U-<(tMRvfcEQ+$n=@4-h08IqH(P-z=czeqOl_8&1{_hUqzPXz(wBExF~(37>nv}HmBFMH-jt2| zuBb`$0GPN6Qvf)0yaH4(UtugdT{fe99dIXSWs>?%TJhuMsM@&R8J?aebcgbEx;=jy z-H0<|?>~f2WWQ^;o$<13=m(#ooU5cI$?DKbHjytjBWA;z4X_!6EuAyBp&S;kdX0F! zi_lT*kUY{E()pYlCWQ+l{j)ur&tJ*CDnI0iES5M5z@dg?G(J5k7%$JKn2s^RAlWp6 zYv`HHX?_irg-u0*vaE3pOKmixK<8WvLtHl;Br3Q*CYJZKe@?R2pzYas&lNv$ zly4m1^c*6nT){NJcOJ-WjD{9KA!IdYje^NCQZ-%)L#$p+rQ$kxTrggZY!)^MEH$FIXF1fg6qe>(U32;CKrwkcZ zS5^}U9fMZ^u2_v1OjOK(2SL3}*ksV639>UO{yM7uo5^;qy0ViOd&@46JZjKPjy z%39C66S$-e@TH66naOJ&f5^vkxPorZfKJrUuo}61Kd~vraN?tHOMfClr%aBgo}=t`}`YE9BJ}Z zO2vglhE1UwsjxZB3;8Tx7|>V^iqk8jgkAZ=la~?_d4wS;Cr`OI4Ioc-sQdD?6k-9$ zlkn!#`0Aosl>Q9Pam0L25w}W z1u}rgf8vb^Z{eZJ7#WdJMjm+%@{?>ZP`(r~xCVSl5N&d2?lH15zQ_eyoVrc}c$J-- zQ9VE;9+^dzNY17wJNH}=mr3l-bCSeaW?NWH*@(uFGc*c~ifHgo&s$RJ4N|tQLs#YZ zS1bg!GMTZ$*nRK@xXRx_ayGF@jd%(?`8x`W6|fliM{th#tWz3Kt*t#nt#pIRDgeMzqg7l>(eQ0aUGK3qF z#gKcAo%s5%7z$rJ;tLe>kFq1LoKk-B42*Lc;>jPji?xDxj$;95R|%3j@S1D#Q?dYw)!-eH%JqO=&`~gMjO~IP zi?DpjKNdHRU>ui7L!%QKQK^e@`Bcof+{b~#RU#(kA$kJ;PKYRvaWoI++6+4uVl3a3 zn>MYYr82w$$O(5>(V}>&)IhCNPeenbM(pVsO&_(o!C(+&B_$8=ag;`QIwu_?!naUK zFQ95jbuDxR)YDN!<2(+~+!T%~rHuxF1ZV)1+Ym7yfrHcwFR4-P&PP`-y{ic-y^>1d zDRL&t#3S}v;Tupx6YQcTbrb~abQ7u483y^}ZVa9j@5W=|g&1d{@|#E>$uP7@r|KpK zkb@i`*q8aUEn>hGS>(D1TGp%p4?N3h@d{34U9t_Ql_k$&*(h<}Is=^NJbfGRt@eN#ZXRnD$kxqqU>uXK_tC)sz(+MY4>Rkc2n zkxWY1(UC$DOG#~VA%*MXVbjNzctW@&XdJ+oVU0~CXa=Q?QV?Z+uR~kR$>K41%_Exz z=>)K@xU6M#qmwYe6;S8hw4x)13I4PUG~48vh%a6{BF{k%ocO{)@C9cF>oG&RG#X$;*q_$9Nie7*3IAXD7qrj@!Hdg|}eoCF{^T zKacQ|9P$HLXFoiNx<`zmu|Me{m*WvVVTbe1@N|$J8;S7+Z>cJDnES&sfJOj4pYX}M zLpf68CGJtS2X!Iho^QAwM?Fq=#Jq?}=zLT?cgP8qPsPqX; zzxdUCuOU;D84MqfG?Hcz5Ebz z99odqVY`x&T9;-H0?z%4l%YIMy$_g@?x7UE=dsL%sUHWDftQ=0C$VzWF|a9MNx<0P zC3E2cUPLJzsXfw*V5yOZ1q320&v6CCl1&`8t-{Uyva?qw2ZF#j! z(5$TiLJS-WA6^Q?AyHREqhm_sKUi&=Wz{320EAj6Px^qF=z&7cLY1O`iw?x=;2vlP zI&!zsPY#I=^pMtBCvVl&5MP3_mWdfavP!V1y=<_c<1HqZ8uzlsLQi>^%zNy&_0xl`|z}j z%ny~q^tGE;{Ubs>?MArYfSQ!|mB z9EznvG09Ox1~MnEP!ZdoDI?XCiYe zSp*kF%9O&HeaM$OANU>-=0aY{m_KDCjxpAh3{iyQS=PMI8w`*_t_KLqtt(c0e+oVw zj`#=pciBjf$RZ{Z?j02yH5?)%H|VA#4g}zW5|W>za?G`If__ggC{b0+M484QyfoVw zKJ!KQy!ihhq^M(f$GDM|Ng?u_9K#(Ql9gboBP`1}@(xK=ACuvR%*i`F+YU(gHNsY#t6xDGpWlpDglH@RN~Ge-KL09| z6VWPB5h`fKpm$bF?A53hM%s)S69z_yyHW%=0M14yl#N6gM&QOtmP2rXEb-V?y**(a zo}rfHua!2K$_D!gi5BQz-=AdxEMf}9wPn_)PzK`n?7Mzy)yyuF>Z*L&3Hx9}VJw`fXYvW%d9(1+^4Q~oQ#k&M-Uy8dixJPAPp=8VBKrTt5T2Bk z0wj1}a-1O2`JRXwShQ>k(}ECcl$6UHcFbqF4JAwS8O94Vqz`bFz5~c8(u>J?bJwH# zIq>5*d1T&RS7=$cq2!a^sU?w`XUHPp5LCi?mz8M( z1T>8|IEk|nq#;OG3Y{*%S(!^d6{z?Sb$`E<=#s!LM|m@UydqeT$5AB&&^QyySf%;X zNG)G*5HIcMg4Z@`8x+@;FUA=R1v_{Jl{r!AoJ|iEc`-k?S;iRX5D_mXP#hxf!m0d} zNoa;m8rfh#l%vK)_9fvdaMBpvYq-n%$+ip9l!bB#gO(v>;U2od0UiypEY_Ym>m5Th z>?te5LmKJvoVAF6*j&;naaJ*c%Oozs4|IBc_xhBL1ZA7Ql24xl6-PQb&SA4S=dQXE z>7*?3AdCp52~q#j8Ht4JBzS4rfGLO}I+ap9C$$U?(ATJ=$#so-3wK+2&MFHwUsDje^{oe;bZ46M#Fdu5DlJLVU< zJcypBiy#d}9>jq)@xD9+Igtj9G{%J+A^H7-#u(ZvJsHD$;q=;8 zDNPZ261UN0&mp_|xvn9b=K>hP2ur3I(!kZd{QBZO%S}l@N1}}mqa=>-#W{Ew2O2NQ zY@pyGa*!E*=~$E<1=U#D0STN=06B#saT=J&i9GoW@)S`t&`K=?s#mt?Vwi@!+=gd) z3`YpXYk%Ul9C;;=!a{#azTzmjFc#|Gb7!IYnM*xD|BOojNF3fqJv>WO5NSq7g-otd zwh360gbPIR5sM1KA|cnEn0P8AmgvGi0D}}%MM;DMpI(YVnpKxoSJAtjVmI$E7eanO zF@8Ocwa3ewLHx$M;L2jl^h&CNXi1vdh-RuNiqRpi9Ak;IuAML^nd zVTC766dIvq5U;S}Ghw**3(dl4~f^@|h_yDdHiA+QO@I;w1L?(~vUA{_3q9i9s zhdcPisPGeqGLILfj7XoVE9K4P)4>}4!;tsjV~6ohzENvr!rbD4USaEIZX&AGx>G%+Q!9%a3|ifrEefUxMww@OUJ zc#uC*5=0xib?b4A3^xFbK*iQmrB!)6C0^KEa~RS_7u*+I2N3cX3y~>VOHX!zV_>t( zNT?D0`^l@W>?&08K-@*JE5KhUevEFv9Ox+9&X=FF849k_6HVi;$ylk9gY;4^Z%YBv zScDy+DNw;xR@5?iqU34=7bD@O)J4llKLx50h(R&G1o^pIS%LwUOJkV~@faFtY)skB8y&_bXm4UKo^=3lUhiUurE&4oTH;QbIYvgnr&J^U zEHN=*c8~=;c&i;Mrac4YJyK3;;5isitOnETD!UQ6O?ESuEMalTCl}FIvKgn6o5_x_f|rcmJ312Z$oqiN+UCk8QQ2r6u`!1h4SlY z&23h3a&V~7;WJ5`1mnm6Tq%U4&`vY*i_mSg@Ez7fuAzZBAOz;;y=CjyDz=`(kY6}L zcnhJ5?<-%@QGn92vDS+XA(O@x4!9Xe4Ma6Mvah0Pgbaz}UR?a=tbdhMm=kw5LIT+M zQV+Jo0X~H?gE+7zQcp>}H<~~5No(7bEqtWIFAVWTN3^QO&f4_mNL z+l>!w($x7NNNa2OZITZRnMMXI(C4gRk!kQNH~q7egAAOVb3zzHJ~*&G$d?|{1%l)x ziuwh7z(pQ$2QTFaav{A4+T@pRJlXI-dgd4h!-S`pCHdeXCui|1mBJ)1TyYp`DcEC# zLuELaDU3-tS(9Mi!Z*N07$J}dK}R7slVV0mUN|{kMXU0qK@#5$QNN#*X}IYyJ4<_@ zxLt7+E_O^*Rv_Fl`hjSq&Z<}s1iJI|(CxZxec?}c;qN>LpVfDMT;kFcq zPn$OLZtactwtLp|(a|lddFR(^&TH#UsvG$t(7uPay?Ax)wC#K|lETiIis8a|t$~JL z;}(zKM3heXSDjC3A|&)gni)cdpE?LtKY8WPAt!$&ck5m_GlDs1VfYzEk|EK_OANHE zlNye4j?tr@T!g5EA=5!)sJb$(u819j8NarEdZJf` z0W{ZQC`u)-@X_ncau4vz!0^i$ryzKchjBV38;L*2%>T(?T|Vm7Hr`|^Z~X<9BLVF| zbGrTJ6nihHJLrNGpnGf-WBp3FDuCLT#!Ol&drU*hI}1o<1U6oRfWjruAR_TwQ6{ms zNIKcbdoq6q#tF7V8VK#k~kqo7Z1jHqDkeFR{pBH2>-Nh!JM2AUt$_q zFGs^0WokWfZ3n{LrmdUWnl09xSo5~>BN&j3 z$P5I%-&x)XED!NYM#n;$r9`Ah+IZ@&Xph5;q1yGOs3jr94w*xdI9;1+7F9Qbs$XL{ zjY?ohIDBhO4~onYz9kt5RWVcoB8a!m8kb5fumKgZ@t`IeUO|d<0O1s)FeV%;EcN4= z^n4O5yolVG9>hN})J%_SOC<=E+@Yg#8c##iT_V^J3wzPGk*Vk@qh}gvhGgM^JV6*_ z(1b30$hRNNtfW2{R(PsO!2?-F-)#iG8W8A0N~oy6?I`s6(9`%Hx;$)Zp(P#?i+^QM zzw#DDOvj*cAztqT)8q6y1Zcd|%ZTdj#3Puwy)9pJS6jY*1z+mr3&2zPG7#@E+qh{x zU-;hM=FD4|?=rfDSRZRU!$?lx;)nO;qQz9c^_UNP+AGS7f66z8MGqANARIU>r;8bN zZ7GQ3NCwWt0vo;LPKBlNOFvTJDyQYOjQm%itmZX{t3c&dumY;NBqb(2`6#+YvoeMc zFXcf@R+2d!H_FYRL)=8>oqps$qHHRGEV4N%6uLl;;_m}AN*VD!5yO>4?i{ohC#;Z`3B&47htq!EEYVWw5H>7L@CV*Qeo@QG!+s0#&gZA2Iy;` zQmv>I7f4|22}LNrBAoJf9!w$Kg+?*;kZW!&Wi>PD(daPbF?8fF`0Cl^De)pFZJ-1? z^98x>j zmxKUV|Ahq(06@?5vW6UmrQ;OCsr-WnWKNbeA$71e#IS#XnVvRCI54hX0jFMI6NgqQ(A3XfQaq4d{7?vP# zp845}MtO*hmoy>*Q~(F`B2ht&Ec*o6Uh!3U&?VHl@`XK_RB25Rbbu>hk48H2OG307 z*g^?tRIsv@9z4VfQ zASR9uQ}VN60~k)LB;rk60IPDM>jRwmLQI~yPW{MV7!Uj5tBgi#GpEdK^LQcSlo{LF zoXs=anvHYX##!sy`c3>WR2#Nz!VI-`*QV9&yEpuVF(k&FRl~#EhIaUYM=@-h+U~yn zmIieGHf`P5)@|I__SvG4;9>E$<+4Mya}c_O17GDRB3gEI2%7Z+C`=55sF(-!?GhThH9q>WypoG5njlwXNN7Z(Fy4H+A4eTzT*HZ4J;T z9C8%K>fUzwEmyRS^O)}`or(D^dZX$Myus&e z5`3)3$y52x>x+~(!)|%4x*p2uJ;p6%;Gh?!0SXK_OO5~~Xt3QsW=Y8hd<>sW_sN){`7X-S`uMx=K5ALFjUvOGf? zFw*D>l}rQQG9+%(QaRq(YK-$Il3dH@aG$8E%1Q-*JfX*BJQ;EeFOp!h&f~%Pc zw=@NhYigT?VV%PR&T9df!3yBqws!lvwskfuiey~J1pPFIemCE9ecO#Cm?irk*bbbw zU%TXvtJ{qEvl=P>6fnyu^F9@BS&;Fh+2%BFVvs=M0;7BL(`Zr97giqDxcqwTrd zf;M~FEO^8ylfI6NE!JyFk3``OGiE`4$8FltjwqDrrY{I1U z$rTa3j=Y8sLQGM||>$G8v5T{MNMzdQHO} z>E?70Kuk#~If7jvenG`$xD8@K3tkZO#W)EFRCNS{2cV%OT{t}um7}kQg&z3246KXL z8wkp!hDtA^@M};3QFg%0WVmYUk|jQii{~Q^=CLp$Q)$fG@xHcko;qbCbw8ce!!2#W zY!GbSj0d(7@>|*sw_e?5V+fY)e{fs5eqCF3_YIi4nQg|bS#8axjqUc$_k_(`S1xZ? z-EwW4#>D+LR#JUy?bbD$+i?dR-u9k%6gF)WJV?{IG$-jd!&(YEDwAbU&gJEL*4j|Y zp*$(0(m+Vtarxb)zYWh&yRu~pz8^-`IkM?nr?rK%7Pi^bnR`RF%6kg)b(=S{NZ=4Y zf(&Zp4SvLxI+h-3%2eDM#P9>(8c%B}JV;*w`)?V@-|H;*pf)Zil0(27VRK6ETvtS5 z!>d=(9nK?MMn04<(0(Xc?hjUR-7iYzpfGj_y&OA4Kjhny9PUdZxXNH@i0o`dLU5r#*ITk zr(wt!zsyBxd{9tm@%H@n!GX zZO&|r+Sc_nQZ|gz=%?$=%wpve1G8<*hQ?^GU47HFWSrSfTzp*HvT;jWanHT&s3k|W zd+uD-zWl@gXmc0s)>gAMV&+1O6?74vBxT;0&ye#5nH4%^)E6DL*4Z{X@U-BJRKpRzWJkc)h%SaI&JfcTwR0Hp+^xzb& zG*R0O4eC~4A^Gtae#Kwx)3k{#q`mSo+>3z?aPS2QN@!Y;na7L#_ugFc_U=ZLE-#`G zJtyBeWtz{_rE+0L)vn@NMnH2QuA_%u4OfSzIHs&N(CpF%yPj!eD7OP1X@Vvx*VTZ63syvhP*8E^Ee4B!vs_cH7`Y% z<@BJjG{uJ#t3GF#vId*Ez#)(FGsb^WGghpjmV6pcEr`6t;+RK1yeITy_<)dowsGWAf(`4su{*HkK}%+*)!RGfTys9 z2C0VVbhaJ(b zykc3qW5#anm}8D>)9?IwyXl@AXy6;FA>*A*-!i2w-G2#&Z%+HkwU@O8b9ZO1VOG28 zhFjaBy&u*VEMk8bn@fG5-RW&*>d&bx^(v1VSvT-pf&m5{*n~0J0B`FsH0!2fyrx+e zL~mK}5(UVAT# z4y)Q4=I_=rKeu_?>b7m;>NcOpmKL&Dv0(ZFWUaT>@D||<*;;4vWG4|GQx{w&RLytZ zW3=SdXir0yZn%uLe$@%jgL2ii_dSr_VLcnf5#6ZU;b>}yn3V7f-2_uHHaY)5dvavI zONM@vb1z@F9g`A&!5efJ_6EVJ>}V7XTWl5YRq@V|M&h*%$8?VhJ8>M+xPz;FIu>)Oh>@3hB$P8WlmUt?R$*u*X&}lGEJWzx$biEN`H^qp z0Ztx`Zg`1l0iKKldfBnu@l3#Fk1x&%xj4+iQ`)q>?J;eBTQqk;o5|djBH4tIz(q+} z^dx*I{wxgEW(>?mCj(}0rOh+HglDmh`|0Fc*H*U8)0mWJYs8Ok`YHRUrnaMwUfM3b z{Ax@=YX|MWf4gV-oo)HLRc-q0Sq#&*w&M;ww(U1>|8~jcSGHSjzq!p>$V%mwscrF| z2e*Cp+beQZ2c~TuJWFKZ2G_g?6gHfT!U5!-hq0ky;qiyaheyQKW(uR+t?RAeU||wZ zjsy8tx~m_fGoM#|uUoaY-M#XzcKcl`+mS~cGtdaa81HqPH)62XwH0`5D>tpc7_xz5 z#v0J9qJGLVTtAhvV+`{#UFFVP-^NYOht0~@rSjHSg>U_+D*lFGRB4tGCIFYKRAR0@ zSPo$g9ZkPZWIvEiHS4flH-oxI26oa-&s`}Zm=uQgFe&brzp=ep;_=Y=?HufwJ`Vd} zuUVKTP$)$^X%%Ih{Fs2#I7f{RR))O6Q>|-6yJS^tx^WUwCCy_|q>!MlpIR|MCxV;b{Y@k#z zwiuJnNfk(_#HgI%y-;Y)Na02l9Y>%C0OI7tk{M&!yBv(r@ER`*Ug+JXp#r7+Hf&kb zR`HzRs`abd2DT_{!MoYCePf0=Q#ZDD#*$Oo!{#q&)0uGg{r3)QH#zCe5K)ABWm7E^ zf6hBior*b_F^`6g;o`9#EEhwlS?qe>n4!|B@CgUdV@Z>7i+ z3K-Ij2y*R|HF*kPJ$uk@S-u>vYmc_aLVPXf;J}wUvy!|~uExna!A>fgo4O^!#3-++ z0%>@?CM18qoVYRByFhnfA}S7gJuX>0vcj|Du^WvY;~(688P?KD=yXz)XM)?pwB!AQ z!~f8s)Rj??pH8^Tr1u8B;NviOQ+VE`#i5je3{iV z#9#@=CnCb#+|1nE+`?Q2e}0qR&Sfg66P#jHfaYG5F-X9amtnk5>5Ahnl^$&mF1?Uy zA_`a8Qslw~mUK4q)EEt0b;=9Z89Sjg8X7x4oK2@Y6TIRiAhPg`()Q*oGufq|bmWKr zq+0eN0uq|fq^nY^}CW?|#wVzwmZ@1yAeSukK*fM%x=_ueK*&K5tvBLmUPBsbh!Q*~yu9 zaOyBkM5j+MYKN#nn>CKvGahMek-yEI^%y0M#0o$fW<5Z%iAP71mHScnaG{Znv6+cU ze$$BX5QbF`XcdFAlG*$AlHRy^t4&PKws${xzs*k1wj=O>O-l1k4+%sukdBGluSWzr zgw>=E%pEPp@H&TK^xW~YZFz}FwO{{pn*`tU7jtb2qkHW5$>^MO%0@>qTq(SuO*z$c z9n0A;^@}g%rk>bW*B_`lpD7;ezk~`}`^guX}vKDP9r&oOgo;v;gYyc8N1_Gzj)23_mZSuL2OxW0x=_ z%?$}WX>KoQhn0UR%&sy8vM~YoIZMxb&s1CUgZHyWrP5d0u}MXzqfJ(~l5xMQ9Y*XP zE&kNb9zN5ihw1&M`S*NbsXd;bZwHSY4*%HDoHb=eoUjDFM#Aw~V@k6_K;dp!J>g(gArXzoHJMj7CwQGW5~xZR`~ zxvd4KiPvZjJ2YzZbCUsx{o@$Kx%GDU@#8kSZxzq+NPFeNwKg?{S!Y#186O@1mN$8m zBlSHOx#pfY!=opLapMCvx+XjS(m}jw_a4#hF5snl)hTh&`E!W(V*J-%i#z&l5*h2r zbfjNG6yE-b`vOVcMbRY`_K$PA2i;yF^w-^)p(G1G)hPAFWWn1BFFfwSFY)ql5GCo7 z4=PUjWJoJBK7p*@{DBN(p%Dp_yG(bG)*Fn7Qvy1UIE9>ibz#97Lt{lFUZv%)PVDdo6fa$^CkGiR_1yG+9e5GJgh=deMaOnLI+9Fry6t#439LpH;mj@jtNiR z14lUcl&^D(43eMBtunRu=1ZF5Top10+ZNJ? zH$xL|h>_Asyhl7drfxjPNWpO%UL9$dPF!jyj$WWokF}Hh7=vtEYYrITZjuj3c4e5- zPMKL;DKgI#u=+30A!`Ijt_ke*r9%6N!Cfvoc3%$kF1U(P^zBdK`{Pvqwh%MY9bWcb zE(Q(q%yo=1=Ur&kZttCGJ7FX(*TlaJLByXqGOfX<0N4U*qV1tU1b4iZCH$^G;_y>;~peNe;gvoHV9&Y!;0E}wrLGct`4!pQ9` z@&i6b8a@>{vN9h#q}Le9D`O9c!u<7vJqlYrP~dox9CiLA-X2nQ7IDTu$aAKU< z{Onw@!-HXjr2yv7Nw67ZM(6a@TplI)804LwLOH2iUhGOs|2>p=iGGGD1Kx$lU3 z&$T#Gv7yry7csjqURQW2RxH1`Im&pzXOJYQ3-84V$DryvzjG5`39LqVck6v8j?dfeZB&Mv~RBvizvJFk_Z_~^?U)|Y9 z1v}VQ>4e^Fcqnwl&pFyf<78e{+fn+FCWiNC zD!~s=Znrh&MUBoJYIDoWZJuW5i9vh?c{MQ-DJazI!o3X2& zR@*rA<;!J0>ooa%AlUsK)!^abavwKm+(PzxK;!UI@>H57Pn*;ZEDSk+M~|KY(_^)D zxXDAxZF8m=u-H~!kqLtq@TESx)JY?#{@6E}@(W&dP5f$Hl_!@RHbIpthx%clU(eF@ zuZydT-Tx%tz3m|rl2pl9r60f+(LJdoS9Rs3!2gAtj?+QP+$S5I{0A}r^2ILiFT)M; zK=99Ly$o4*F<1&y^#07*naRB#l&lmp7+lQ9RuohA+nKS&8S${$*YLfISu zHwxYxh$snRp34}>PZ<)GvPW&a9#ooV#PiJ&W6c#!DJwV#u5-@C9?Y4vigbTl>$qPd@v7d%E?aU48v^X2sJ4TzEkf@EJyMk#&so zF6zWAg)rkQyD;F&fC@6#Bo5!6GOT8!ARcqkw$oPtZOapyW7TF9b2Q{?Bc6!0*~;gdr#W2qoaVT?(}ky^^#KCEe28OkB#(o;?&2kg zgq42(9PWSDJ@ov(q{w|1iTGLgpQCCWGzUG;N`fH&2VvgzM+}xpeDOdXa?>XQQ4$ja zBQaDRL#8R@Ngd=WlNBRu}!GwVm^iQ$*hM6RgGA2}kuNCZ^gr6GwN(92}ruXk)2OEo)OxfV341s*$>gfI0@AZ6fj(m)?>FIru zi+X8ErOya~DA!^8tP~pgup`}fHaaljP=zCt3?DEpf+p)WO;Y;I*4CCA1FP-zlh@jD zI^1u4`dvH0O!r|{1nlU^fz81ii^5d|z0Q`-5FgnoPbC3ah3MhQGW4Uabf1zK{~df} zAK_Q}6oN^+TT$(%5oO`;(;I6@f!DtqdTmA=l)KzEkx2I~93>mX81Hq#S(D_)&TE%Mmn~fbnCV`ZrZ4h zSpF#z@)mkZMA=0=o~zoW|CRDo&l0U(3uC&#*10sNkX1^tRvqa`1c!33`X>+OuaDhD z7hJo+A{vk?Bt=+|o`nGY?C5XZ9&`|FK4LR^q}=yd71*9^ z4$^orYN1mblm?jru#4WCu~bN6ibw&7(5Ft|_F$I@tAgY+n$jV(U&v;c71l#q()2KS zPuFWJf*yw3q8O7pMZ}=6ye206CZTw!M5HmMnspkA4zEZB0Z}#CyelsC^$j!f<~Al;BbRODy@!Nlog;u6&&)d`EL@vb68>>5zR1ilm@G5G zW!y0VC?xu9D(`v}b^=pziBOyqOop6t$R7hSF-o&+94`hBfex8=Z|PC{r!W6|`{gU_ zX262w@a-q!+_C78++nc);h#q%Y(F?s}+w_@eps5+R2}e=w^u&!Sb5zl8MhG zS%&}Z^h&>){ana)gO^+EAk&cvXs)b-Y8iTXKJ-luZH=?Gaheg(vG$Z*Q4dVAW9ksg zeI75dL&RI{z==ay;Cyg)KTD1dWkM=~jl9Axf67!-5`D7CYx9-QDidGlY4WYOfguL- z1zugeJG{LG`q8d9eF4wv)>k(e8Juj_ue^e(8mAu*`7%`^R@cInMszpA;Rbq^oq6tp z!UflAoH}3Lo+yMvZhSHTqiB&^%Cb-sPe6Zk^h9q?^6@5241jVUl@6Q?4jAcyI2U4d zYfx{Jau3L#xcoV$pWpXM_J;qQM%fD>r7iD!j-Hp|ZcjwVr?Qsys(&SgUS2|HPj?{% zu(~KxF6&6*irbZm3;@{~9t$GV0m7IGrKX7O`e%m{j^;84u+)I_FS%GgFukt;a~9gVg|4=Ak@ zoChy}V=R4{9D^QxS2IjG*u*fbQ8A}5U^d$pnajjFn)c(|-M01ZT6^b}ciZ9F6BrH9 z*n|usMiezLatD#fRU<5UM%t`wTCy^}Kv5aB&?c2<;S{7d8E8pnu_ z;|2PH0i`p_;^hep>BRbIJAa0S)hFI)$ET09_b$EBmTo;~KGy~#e3R|W<%_g>8C;;F zeVAQN)yu6l_#)r3)GIv%Bd16a8unM2pH1Yj)NKjv&J6P#>_pLc-5Ew}d4927K7PKP zJ94^x`}tRy#_;;}H<@h9Ua3rD(BPzrtv*4_QABkz+9n}s=I1Gb=*kG*h>Gr5hmfiF z>Vx{8v+2+i#TK492Z)=X_P#$9atBJS;jx>j^Zr93#5!4g@K2mvn(&?|{Z*$VA_cbGnv z%fcYcn%*G|TRdU=*Ue`#XNRy?Hq%yB5uf-;Z%KCaEP|*B#;a48crPk#6i9r5xBl60 zg+fy~G;X0!d{R0Zq#+_y_C3eQki0^qsS97oA}rbII4PynSa^VWS1=i!Qc&*0WY+t< z4VuyfnopZY>1VOR%a?hzgl)cv6=R{SGGIY`JQ(>4!{j2y3QsP4(h*EvZF)>FH%r?t zx(v_jCOJQf&UfG9wY)apcJ}SG+bj3m`uAJy-7Bm$oIc;S7uk~mZ)kL!Hdp5Xm|yvF zsfs^6wso3`>2!9-2iueITfC8H;xwXqml`Und5Jsd!t<#Kg$T+PJ8X>HJYq1+8=)W10)SOm?q2%A09M6nU%kaSGB^c%-qL*4!lcD_gKbg%|CtIUSn?8aVCa-`}s|l z@$74_IYOz&O4Ae#0!y^Vy3*;H=kD6*9J|^S_{1CGg(BsgJIZRB!7hQahzf1o=JBcGYtm2u5UwG>7|5Uo3 zrvY;Ub%Di4P!-RK>+-q>*?>-$^p9{7P`K#K&}_(@m3mNtBZx}f6D=;3p0Gfgp-x~4 zHB*B_k_8t|xr+rQO<$>n=&#G`wVy>Y8l@zXN_Y=7?g%!m z$-O3h#6v|agH%soN6G{;Z1Cd7q^Q5}I^Z7+9ka#NF0G2FG`)xC`nV{IylVvc22LC~ zI#uF6h6|+PuWZPZKaxcTBym28?T`cIf#ifa1LP_RZEd{g&Ck-toYB=)Blq z|19>OSmt_hVU8DVTyLijoo?S?tj1X*c=gpcm_*84cKL9wS|{_tjvC59S;N6zac~!z z`IorJ!D~Jpj?z122t@E`ek|K)uc7&gqr9?8?>!+2i%#s4BHZ-i3lQgCm#VB%7ZL@} zAuX(FI`};&VS}p++f7SAbk3xeF#mrF$sTpy@OW9Wi?$>Uv`6F3LmT zp>3t{k><%TBYhfqJ;?Kb$D%Jv`PP%$=IN%%2o3(^Kr~Pc&0KSzCX8ozt*t}?k`%&9 zEF4C-U0gB64e2@a7aDuGb&qLO+8WU-xN3$K5imiP>ta7_cq7jS zl}F1pmBJ^ko~C)7u<4}12o0%#N%>CTGhn=22D3%)_D{=?+i$-6AMKY{KWxXRPP+Lh zZUyv^oiZ-7L|X9c!O5qSC#5gFDyu4o7!4BGuQh@W~>Oa!ukd%MjqFQt>)H&KtW3})4SIGVh}#Cd2l z(`O2N?){T7c-1p?Dn&Zk$>%aliWYX3GS_QqPWtne!xau7*}Mzoz-XMHn}W9xfVHr~K7Uq9>GizzhQYIkaHf@}1)6{^ zeLx6H6ELVTPLBQv#uGVSBHlrUtW6Idk0=d^m5Wq%1*$xXuK$W5HHk3qty&w5NF}|I zI4i=Z(_P%;HGuw^pg(mPj*@d-O=z2al_$waK~K{(HhriP&{p<-I0L&g!BD(w-dI{Q z&h8B^Sz(|nlRW3y|7m!fEsxh8wlBW_s2#lipXdXd#coh7r7vz}g9qb&=q?hrtju4s z9Nnn=$1u7n6|kdBamYkcc6G>GbJ(hQi5(TzhE~{5>tp)a7uuCe*D{sh+lN24>4P&Y z%6`^v-TS6Z9i!i9WQu7R&)P@de~h77V*hx&H)u}i@;RzW?wleqL?6=-vsD#i=?Zc1 zXW8mmISROdSLb<-H(tB_%rd)M%)fX+Gw_vm>fq`2^%pnVBrnN$`}#W=t7+cJNPil5 zT}6t{{@oEe zA;F(@gO7)F@*hK0b;;6C*~o)@22!Z{b+?@Qm_9^^pNDmEAT-t^8?cf=3o&aKav0CQ>Cf zT>LqL)Kv0@k`S2+j%KD$48Dfl3!U1aF;H+`gSqIKcW@-!YZS~rCr7_jwo=NHV?7}y zAuZDzuJ>ZqBdy`$k{u6%Jk&$e_)2#tJl0{Lk>oAEl9~zT z7OXIZK%}EIc_uLmW5g}3F-HnxGPm-K(X(Zi!tBemi{exI$N-}i9wi4qew1Up!c?G= ztKqyhJU=IZ&ay@Fp{4z7^MdTx#+b{*i!JbwZauu!PMgHB(}uPc$F7 zcMBXxMwdAIb|0?r_}Ec&j{WF)F~+&WXWMtovli(XWV8WdD|u*<|m#x z_clJgF3~G{K6}cI%g95~d+aq%Rjf+X2-1PuF0HSsqE7G%<@i$3^e=wKTJ^?5d+OCEj)0RP$xX~Nnr?c5A- z_GrQrpgo-7og{k@^qI`(>A*Q6pswhtXC4k11_?^CAS05mf0YRhOKvNU%MxOTFC}5c z0*K0O;Ur zyb7K4Qj;x&S%!^&aC<#$dhr4v{>*g)HZlckjIjI>=5}~`4JMf$StYez1S55hB+^XU zWRdX>O(Aw*iLBP>VBeWw+JplKxMQw6^p#nbb2`z<8z^8-zA@-NK!~$B=bLs`S$1>( z`F6X0@p`*BbQy1nJ*4p5p0f&ho;}#N*#W}Wi=EzgqP=l(r!9`n;YmGjJFD=+0_zPt zAv@DuD7^t*=BHwyph0VGeUwGieDtnHHpkgM25*SHxHO*2%r{%XOI~Cz_IZrd0<-7m zos`OU$E$c+OUn!G+UX1J%F%OdJb0@OPtCSBYzA&%q@2Z0eo`)al9;C~7gK;9hS9H9 zN>I8{rKT84>J=C0QWeX?xDEx`h#|`@iBrdXDnTu+1}<|)f@})d+QigW_2AMLr_GVTb}@yz59?5eU5!Li72QsVo_tOU5)VlN;_Bj)t)w6= zVKG7)4Jx5VLvJgF4FpMAsf#zR`+^rm`0e5ouI6y4n07msv$e!Q>HT$GrF>3(Gt z(ut6pScG+EoR2W-hWQ~3 zgMfjE<|`-CrRYu3U4%Di*i>`Zccew7BQ37O*3@?U=*}Nl_&nS$p1Df%YO3A+=?+`R zP}cCm_JTouWb$C!J~-S~C)RlD4x@$cj|E>Vctek#-)pPP#vdLduS|=0N)z$Hi=Qx9 z%(-{@5ly!%M=rKwM=mhEjK$XQH9pBKbRIA-mgd0cn{@cG4$-Y?<` zLUB^OSsR4*&U2-WqaZWf?_sCI-(%<8MfT?)`J+Fvig(XZ|EuuOXAyddy1VbV}siRICkX%<`34ZD5hhzH% zstP+e%m6JL3nooCv7~~Sk*+2NvvfVkC=6Tm5&-w{06Kc9uteF#jp?D{QLz++x!iNe zw;>Tm7v~UuycQIuul(L8|NPmH=e|@1B%OKSBQHMfZBFX>xQCSVQYb_wAJXEdoFgLO zJkqaao-{xlN-^FUnT%os1}1P2PeO6I;J0i@Fc#9}79Rn_>!F8YEtBs&qzD|9WtxPB4I?_b&Zd4_bgIJ-+fadt?Q0;l zvy9yEwuX6p`tHFWAKh*r{rFiMX4#Ta(N#iDdzVC2}jR05K zb#;Xq^sDUczU&*V85y13e~5+6o9xSVl=X^lwy7=Vu)~8n-GX>VbA4DL(9(6!2%u9J zCH(V4bs6Xrf-D$Ii9GmcX8MDB#1k0xP#|R=LzMEY;SXu~S^fqGJi}<>WTwyT-kcCzDN0ucN%vf&4w$+}q0SF*?ExgLlj`0; z67KTU%QNf%6LV#WYj93J`|DiHG!2)(vk@RE);SQ29q(w@he_R zC}tvs#GfB4jW9vXf0#sRVq_>?D{OWR4WmV?@ByrHX*4+c&T*fxwMiTFO(P9J9QF^- zU1IU3gB^YL0>jITAFos0|gy$w9=H7_9 ztMH0XSqBQKsV4x1PTZx$?_6bu*$X?R>?;)N1kezzwO;|D#;DC1l zxi0RLfeNnBV#2iI2xeeX%HHJY@edg8BRE@hJ*{xiWvWk8D2rl8z*L3T;Erq_(t4QY zmB2p5;Hno^Qc`3k$f!ONqpFZ;6~crHv)cM4NXd01i=e_)dI?YQ5pG(QU*T0)GK5CB zMos9Hp@JqX&BQ_)x@K6I!dhpQNuKn2$^+^Wm_Z}Eqm)lrjVZLn)$zBBuarZ~Q4Z3k z!h^>9GFsK-tTYrNG&1!;mtK4wvdWDfqC9|Cqbg4dE(hW8z&FC|Lrt%D60e9fhFe)& zlq@Pqh{q!emGq{;&;g_TG(M&&oB%*m!(sdcQv=*q#oa^=Q^rFWuu;|^jyl;CgRro% z*nW5OBWMk^^GB~_&7U|_L?^;Jnu?`@0{vNTX~Pbk8}vKTZc5k|O+Nd&X2;o|i`Qdq zFy9KB)4q7}1=9!4wAo4BBlgi^j~d~82`T1+Zl4rSp*N1@}vJ03P< zPCaCGyE3&oAw$+V0sO@%abdbt$;7K{ctt8X1rjerUL|VUP!wY^5t<5L1(BYRk-ii> zgtI{U3?&fS(&*#s37q|$P{1+}(h?yU!-)KfzwpSfhxGiGF^2b^N75zFN#7}w`J&P$ z9gLTtJI7t+k|&41!79$`0|5&9$}ZCmATWaBJK7Y3Xf~mdNr^lZ;`#%d<|MT8vQClt zl^5VuK&h;=?8cXq+Lz%>?o4kGFY)eI-mjswEQA?jZJtf5i4C^-^)+6!@pfniO)^<> zhaEoWm!C3XHq3S}FWPUu{#_e;W44{zf404N!J=f0*)aW4plO@S8W*NWY)!S*g@tx} z_GFtLnqhg%gLdl3IpSPCGtmwlJla-A=|AG7spHdlOzz#TK~g;6k*^(_do0l2#%MUD zB#Wmpsz?IE?LY}$75!H#qefJNxI<_C8tWhRLT|0!Z%@AX`*wQzWLsa^Z2Q=n`GeQq zVe#^Tw(yKCYw(~pDU(eIZm=w94Np+R;|`;~X*FByzzf`PZH3k-RGCHRDD%=;4RV#a zI#YTQP)obhD)NJRD5KamGwK2Dz!^x4)%6OMmMo^vY7aicgy_sq z0TEECID_90S&ROeG>ACKTGiizjvI)X zcmw$p9e;#1q<& zB8hULbi&)W6+;#N2pAmT;96lOU;go}T$}HX2Q)E)HyW70MjJ!~g**-H%Q3E)3=Dr# zkcOB9p&o z@5Sm9?%|e~FRVRZYZnh(Y;Ur?%agm$SrRncE?i}1`pp{|nH%RdTT66!D+_1OYwNe+ zk(M6{hCKM$EoMth9)*iHi9+dhX-syU$&O)mQ^7+_fw*w!)wVgq94lVAwZs&OjeYQe zhxg6G_pJHDs4V@|Rz6#9fA!igSQ~hzt=zxKOHq|BZ+xb?=)UfebthZ<|0LcUm}<89 zfGPbkLg@g`4>&F~UFxY~6_-c!E+Hw=l#}r(Z)tWv@6`|Sg*N){b#M`GTpeAx<;GOV z20mj$ND$ndD|LsVr{OKfE+($Jo1ll;KCXz1P~A6_pFDLqf~fm5wkUDs&Hv=+qXH{x z0<23OeO!ONo8O9)uH5O(_nu*56#O2(_NEh8CXSLK#B8$J|06Zs^Z=*d$RaF45omv7 zwn%HF;ePT&k)V&Clrm?+)3i)L)B)ToErn&_NJ|W)8!*T5CR2T{w6(B8rXQW=5#Q<7_4>S~`!cBvfUaHy{{da_2154GvEq zZquU-@#8V9(V_knug5pr_^20NIRG6ckp2WsBE6j$9+_HYogVGB4G51w*Uj-3w%M|n zHFq1Xz2gO=50)RWXVeQi=3lV&3%ed*tj-@i)!tw?gXa%-OF&Z?{XlEokmG zZ|zy34+}wvi^m(rLIbK)Z00h@O5K2d%GC`Fxh6Q}RT^yJDMuyh*+2fHN5mQ3-R8Or zP=`PbsAcCzF#oLvbH!?GS-K`QqoN`0259)R9G6 zKLnfS7a%unzOI_vmxc=PNV;=T)x_k;lA66mCa4L}sUG(WI0@n227XkxBkgpLrIuL?$)fpk8U?9yj;qxPH z1Z#7~02G?a8*C7YS7f$QNEVz*uJTpI)pLu%0$F{6E%baG5?geNN(v|Wk*su0$1hDu zYd6i0L=mg;@o@A=m|mbj=VMrQrZ54p8KD$qhrsysUD>1o#QXB{>RQ~L5JNwCHx0m6 z_%(+4=Xg2l+zRuExL#!vq_17~Wua>?=G$9mue7uK4>Mm0nP8+Q@I>5p4VYJHE`EOZ+jem5L_0BktZlOasr^%KK{E`G>+I>Z zHGHUDxO9ORn;vI2|3rJnmc}2$&#P}T5A4*LcKhoa^qrL<0&`x5VY4<;fxcb4>kb&G z>wKc$7%laxn%c0|m8sJ7vNWT@6IIzTnm9kX$d#p^dtlNW7558i^=4{q)gaWSt($EDpM#l5k^{R zRW_S^RzI^F8^XO+J@;}(V&N5xM@EB^mh|2OQXUcK#8Zmn z<;9qf?q`AJvxm{=m4cvrqb+Rg6fof^Pqd&?NlWoC;XJ$950@0Qx9YzBDh{PA}G z(L=UtS>;X8uk+Axb33Su3nO7AtVy%xSM0=*-D(bMDwwgZ0fjlmAp0)X9>;);p@+U8 z_5R9_?Z18f_ZaU7ZH6Y{gU7eqw?BN_?z8Rl{Rg+&K{g}2dgNNWJbb2I+&tD^J^M-< zJuuV${?~s`bD6h3Uwx;VjLx@$j$6%O_VM&&vW${_X3m(muP6fmh{i){SgsK!+@>Ff zP(NKP(y~qvVj1ULQQd9I94ROF!q#GV^JyB+D1EL|S<$y%*Sd3P!Gm?tGL>#=4~e-q zUi>{r(QWx4EV>y8(*?<(?o|uLv_fjQ(Lk+r$Z%a5_clgcs_Ll!#Y^GiHZlr(UYjWn z&wV{LEy7Kmi6V-#!!yWNk4`wR(@GGNko?P9q3-Z<1C8qAQf?@l3<}-xVCvl0MTLj4 zF4N{QMlScn7pa745Oy}Lm;$Y$5Wjd;Fg?AD2BKu*7DuN=9To1wqZyC}Le(RK zU_3Fo5SL*cUg^^M39Ny=!igGU5)ZQqZLb1^5Fi$^u;GPsHE&HuCP_M=lj}i1;WBLq zk+45ZK%ji7NG@4&E|f-NgI8#6u=6IHyWm{%mKXMxQn4N{KB5vYu>Oxua18}U?#Z)9 zcqMo@%m5cxmLh?>Ui=|l2vb3vlxXGi!Jq-pgMm(PwRuM)W{efe3hbORLN>Y2n{rGC zf9rPKqaH^N9c^Prj*xez4lp>^&+YOh6wdu};%CZBTv2$+0XAHUH3gqE5Wzo5r#Mg6 zC>n-sWQJ)Bvu%;Jgc~f_zQ6cG`|mfm+b^zt(2gD+Z(n@HoGxA=KZI-cI($!4-ROp6 zP8a4IItLH!Z~yS!y*71oyuJJCtL-mI_w>ONJhC;s%1QE8EcwEX=oma~LOb*R$g9l| zxvevq%mJU)39Yfxoj-Z$4+Dcq;zYy0=kQb4=Bjknbm+GE6hA-tCxdblEUCu?4agbFP1vdA zJ>i^%d+CS}2oZ-7{BgPG5Z|8Lz)>%$?@no{$VyRg((V$#MED?irZos=xmYnM|D+W( zzc5Y4`2?Mbz3OsOh!BR*`3ftJu22o@ex^zPPww&RFe<@m#Wxi1&z<17 z?3;(ZIP_4gsLIO@Jo`)R&jL%*>8be?C5SLX0Td^BO#vIiy)o%q;v)PS518cS8O5M&GfiMk z0iYat6&9QRsj{xm)9V@O*3=Er2Q@LqE52wlW@(ChU11p9I%bROA>I^ym|Z%T*|9Sd zI`NKt0frx$+y>7Uo#)Pm(2E+iIS&N~tn(T%uGxB&m3&)m2Hs?I1kY!9jGaZ{a~RL= z+1hhPDR+(C~Pa{-a~uChs{Xs?#a)RJ_JsDXRnGww_e0!TSoNgt{>KAT7Y8hzzBuo@f|@ zz=Gwc*^lJA@bDsV4r5VH3&OnmV3PJAa|8oxJ;^i&5~qccoOMVD^GdwZ^QMc55$r-M zDu9OUIZP<49LaZ=xC;t0^KYGs90X4!bgfu07WgGjF>%~nN%8~N?dA-kIpjbb$+dLFt0m#clZdRj zTS1P>a>A1zcukH>2Y@{Fe9NSr)vl8}u#KmI@tI&(0=&1gM$;%*)O&)5$V4w3N`;NBdMczU~pOLlKHGwj^g-7Hx0!JK2Q~iOW%8&j#B)Tbw7?wKfn5yQ;6=Fsvqz4$mo2{_VXeDvD%PF(in zY(*?yS2*EW9~Yya-jhi&EN zT>J75pS9QCdW)S!nS9D9qMh;f*Ey>}FH-)?6QYc~RcGMde~PAVI1A%_9!A7@KhPaA zZwXtj{gM8sPA&=S9;(ud*Q3BV@-JKgAC7!P$oq*oAw_r9Af;BFw{E z@xWbo=ea8j&MeJQ*aZ}7+?z<1>y3j3%Cfan($^ggC==K-*bA$00V0$)ITIVkx{4}A zB6}A*3S$9DJD!II4W@yy;L(lHPeyvA;0t;c0U9wHfpv=%x?6dw_>MXaaJ)92sHH^C z0a6^ru{3;Ec|_sDngvN(D_KR0CEgzL3EtT-qU$A|D4=CfdUnk6E@tQyY(I$UU?&7YV}zZVjdVJi~F%shsdO z;@ZBjt#Pj_ghx8&IyvD_8Ie!#eZ`j9@{P$pt*g6T-2#U)CY#tLc%skB37y0UKRq$a zB4~Kf!@_VoP_QxXZlQB+L9f1A>ZTK!$9CI#$J+lK;0oo=#SO+1pG6Xq0rP%&7H$>Dt_?(MA z;t~4r5_=6m6<+^CHGkZ60+OCFx$Uk4D9<_S9Gy4YhRKt#+~nP*UXp+7Vi5Jnat~}0 zRobj2)CyZQ(+MX&KO*DWqs|GX5);rP=m8S6=f+Eau?t*4xG$v2pu#~&2&_id%y1wA z8+R52wLGGXh9dN7?NJpTcruy$RHmXc>?A=5mu`{DCyE^i95%T^&z|7$SA3=KClCZv zxvg9(kJ&82mNJ{BXv-$JL7OL5V2hWeLwKSW*1n9QB3E@~WO=C_4fr=J_*FFbR3>_9 z@Pm!lCH z#f!12yl-Y-J9g|yTX^I=E4FZ9$AV!zIE}S(8BgCBlPqcGS%J*BlTvH&LnW4JzQ`vJ z74oc8CqFjxhrB_oL%f1aaSx3n1Dd%TOb)gC-G2?1#?5VFd}*lzK0_Eq;SF2D*yYX2 z;26UIZa|U0^31J2ZzKCg+Yj@P+Q?@gwfEk5zx~G#{-%BL?RV_!^{j19vA@^CcWvjp zolI?*W8mV~_x_nTIFGf@AAbhbo%a4~AGCYl-fh4A{G;~HoA0(^_S@1x>J3{~sU(&a z_wtw}Qz=_`BRqMw+;Zc+*QHmb8wDd^?)kIa{UiYIwDeR21yk_@guoFcq3)zQ$DUa_ z#v!u-{opRpL>bK|Gz6wRd`Ra(P52rejlL9Qorm5U{f?Uq(%4jIRcAXgd4#jerb-r; zdyFEiM2%tu#F=lW7u}74M5R7(=@If??76R7@P~?b1!rP%1)Xa8DpT`WdGXrZbw_^< z3{656+$W2kM%_u#q(Rar@(hkBxNsy64UvgkCJpE=6KIVd(5}KNr^N&`F6b9=0;QQw z(j*tocz#J+d?cQdtja`cJ(wJF4qs6~Di7hET??K(z03>3$dgi@q!;AS0&y8E=^B@4 zFjoE~;Zr!WpcLiTYdy^<9%*7ot$eVgTzGC(8uMWuf{nlKKFXC08OF~)JQfn8MhwBj z>o67CsR(0uLe9+Ifu3*AapSoihWN*3;17fERDxR%Zl@U>!@yJlE1u0B4VK2pNv4OG z8UBk`-fQR1oN8-#*Lb52JA5K2n`Mi>S%!D@ojrA`-MRTR(+->pkcq1*EtycJ8d+FL zS#87579N=o6ej=DmbeT$+Ur2IPesDF%43 z{NUZ6EedlV>(S+@nsXWw3r-!0CgocFF+6FAjsZ{`pktZG_=_(Prtgj<5JuhcT=auU zo#%sQ6K*6wfXEiKOb;wF&r&b*!pD^$?sqoF>(k~~9PWPki|dRuGr4yI`L8Uj&V*|dt8x=t+LaJyc?RXJi^1{7OVQQ=Q=6BF65Wlp-zlK6=KU@H2Q^%k6hJK5u_< z{TJ=cOIO=(Zm{_sFFKuIs~G#~QgO^6+NrmSNVvvmBXdkrVB8r(gUZ}=?%@*qJIcOW zTX=#`c`53(6PGcz1lpt|PdhZrUF)dY4AGfB;#+o@AUll_#?N!hs^fwwD;IG^y8bKWs>Ru8zw4I!0-U7l1sc z{O>P3Y>$>6G73FUR|E6wc;If>?4wU_$>rwc{Rav&>%B$RLRYP~fN;I`fu z8(#FB$_Y$`CBl?d;=1e^k+{Lh1Vsz^$p2VZf71d=>>vM`|B?UvfB&EV#o=uC9#4gb zSlY4?KoJly1UZ-Jxg}8ecodpht`pmxjrXvaDsf#ETL`qX{*N z7nTq@p2wX5Pal>hq&OoXHQ$$+ZqX+;L^E<7<$T0chy%PW=FEw+snAcJKX0RxqwU2y zo8Gba`PI`G7yy`PKRmkE)(N|E^lB#c-Mn|By>{_69G4k9G8XWFbDarZn^;4qV66D^ z2f`gaJag<+n;kvWZr;7a3nkcCk5R>a>=kqNz$r!r-O?DDU>w|;0%kKB7F|LIM`@B~ z;KT?ruw&=EqnjwUd*m-Lv36yCwVgV4HoGxAdHlGoF3-2i$Ijz%(wN3b{`IwAwAT+^ zZBj)AarFNQE~gQ>d1 zraEuCW{K52`-DB^!Q=j8n{0--GsbSO_HF7xA^-Eon1DM*_XD`yg6Pq+CqA<^_>x7LC>>Pj8^) z+l^?jG*pyL5}3pzTf%5zx%TPNr!6)JS7>HP2qPs(&N^M};Ctq+fB+aDaI3Is_<1DI z3z)Tu#l1h%=*jt{ioqa_XN8(vb6rNInwJ)GuuyQ~_hU#FpmNs5j$3Lwhd8ZzUnufm zKKs55^HF&flArmF96H(xH(`|rVS^7memv@lLt2n&Pz-j0WoUI!a;PU~3e%Y%M?39* zu)EX(G**t~&yhU0X~C8es-bc=cg=-LKLnL6V+-~#QQ+%WLc$qP(~9cjne ztnb>v3vG6DsvW>cPV%`ul@m*OB8I3sd!Wn984fiRYxcY#%(favSaAgwx+4{$*aInUh@odQXfx9JPI$16j| znF5Da3ZV&Lx)6NJwr{##VDN%>31yBS3W5wbcnplR_s;Wrv+ap?=l~Vy#)M_5>sL>o*8QWdTa`RRTg8UfC>4;KDB>-qKk3l@T0s3<`w6q=|S z(tiyf-crFeYQR{DZO?}{(?HAt2W^&lvZ%J06l&iFZ97|HZFqH#Wi$(Io5`rZ`Qo?j z-@o$9_SU8AZIMZtH|KtAqtnCf`)9Y?`SojU-}FK7@xDIrN=q4+eDqNHLO>narUPq} zsSvnw*c>;o0`lh|gmc(;gi@c2DleRRm+Wz0~{yV&kwF}3u)obmwNO)*^ z*y)T{_B1W0ptQ|wd`+Si%-jyM&5TntR#`GMG&RBWj-T4O2Y1@ZV+U~-CYTRKpBU(7 zFnU%<9~vVV!UH4w+QG?V?fda3?e{<3pvic+UA=s{ZO+*g0RZZyQ&@h>&YY6xcuvN_ zi--DAWy=YEQh_Xcf&mI-=`+{SFUk%)eR+ykowg|ZgIfSxH<|zdKmbWZK~xUI3Q1jc zJanPPR3c(SNBRUIi|`u$cKPr%YpZyZt9rrg7q&xx7Sd(g>KVER=I~(0+K1=gY-cBq zw%>mIS$i=5yp6E~>(SZ6?SpIY=3ST0e(|9%g=9sF9V6<2H}am`Bo9R9Oi17Y(uH-` zyC;(O@Jt?Nx{X^IP0;P3s#ZM|Nw9MUT-^K&W#Xt;Wl+jR_deoHk}6D9)?mUVW+g^p zQ|S^>aRI8F1&2iV22U8VLh`8znuW6pSExEf+z(>oStDyQstoF z===~yyrcH2s{BC+J>mlzoMHtZ9*kHW{nGm>=d6^so?0dbHZt+-tq4jnS{!MnJ~+5R39>Un9nvg%(9R8H@E<;h}in@qquziE`o zpCdE@x70lMt#V%}4B04#Yl(|BUJJi6vC;mKt!4gd_=EP=x$Es2+Y+w~^DaS*i2F}f z$L%V-dLT>so7~e%KhAd{jw3g{HY>oy_AJ}jI9tqjBQDbHSZkj>_!{Fh(O$pwDt#s^ z?VHCp+S1H$`>!|tvHcxh(S?Io+1{A>Whkp2x%0GitjyU2bex3RcpVo+nWRNHe5L;d z2pXbocp5!o3+43;GT@bA=z_gE3r_V5}-a!MGCavY=sgGD@bbtOn{b?`SrP&MJ zgG~8$l@k53Tw~z5*4U(fmfZJxuYA2$f6J>TO>|8WSw_SQ&(EXB;e8oAOP(BBcL+-v z3kY6Qa@xfK9T9~#N~w+(94FHl=R<=;>bLr%Cfk3tOt~yDEyfq&`Vg7m4N99Ui@b}n zwfC3?a|&bi`LDldC(d4IZ@&K*H03wi;eChjfM=;cKIAPEaEqV3N=x2y$d>_?gSsZH z%TYiQZ45XnvFU~A?mg$SWSMovKx!cSJep1_An~80&g|BJ(0$qmgJKhx*t#Q#4VNi? z9fBfX@X3@63G1JxQcM+)bTzBCf-SIEQ(+9sNgiN1*Wwa}8@{C+7CHs)JtR_*08T%T zKzzlJ{tuLRU@$1gc zt*kD!yN|zb7xtZlXVQ^=$WC7J<)Da}QRI5YzC2^n{y8@fq#Q@^9EL4hmhcQ?R7df? zMlkBqMiZbv)c)y<-?U|n*@Xi%_g0qMS3myHE?mCY{^r&9+dq8F3oppmn%xb0Z``C# zLC?bT6JdAd=ye$#JI|3VO>6nHJaUj;JvFe(O+F}(@UOw+PNm@+eKt|TyeJ*4ATg=| zRi<)hovHyX@mm(6hs6X&iVmsjH5C~w=}V<}@xxoBnnVu%RfkN=$Hv~E%#z1)*WVLFJw57L-- zV+e?>NvBgGi5T?6al<(SP~b66T494T21`l`IaEwi5JV0xEGO#c&~s>=0sZYsWTW9kOKl?0%Ckr8kE&k>V~37 z=ej(QFRi>}6Gwbq7$6Pq>ExA*ZP&)JQ{@iJVeDRVPLoRFix!d>83=oxy@)`#EX52i z@b!Whw!n*ir6HMt9P;C}703z?H--kcg&EP3hs=L6*!*-*ic)xjK(ULkJOU9DNB*3R zKIv=g2{J$Sg~gkkJcFI|S~h@v;f_fZ%O57zbYY^}FvrZvS!OuiM-7nLPjYSHv-wjD9rspg`6% zAxVohh=Fn%h0BPNe+-fx?M^Io+6Y4p^k1+qJLR*%;_G!rHX-W3R$wAWUS;-da+?{C~~fBX7}G=V>0U}3#YqkK%TN47MT5zd%KH@UV1_+TtbuF5>6 zf(+q}U-Vhw=Mbv<^h(x8aUK{f)?H(dK*k`Ie4s}+#7 z`CMkOW0BF}RgCz&{fT&#tBe9ZV+W3Tnu2ehf2|#3d!nyD{i>ZjalT!mD`1jW6OAw@ z%@INMD=*`Pn#-7+f}(z@hdrNRjz49x_b3SvDxO5}@3(FSpm_jycfkRGd2utxaVEMX z4fn=%+0Hrl9cG$@T5>CQReUSCDYS6#T-+ICDiw%R+U8-X7%O{h8fzcbO2P800xRNP z@1jdBEu4r%-0~sbsu&uOQW_ag|Cb&Rk9~QP2Xj*LDC&;>>CUHP8%dikPe(w5AKo;Q zR(4CF^6k?dR{=K!-;8b;n?iU9>>(ZmgD0v+jJ#WEA&!`nJ{)N5Ljyre$m;;101+Vf zJ}s4}c@cncxZwtPno=4CoP?x=A#HJtu9#zlI#07Y<$EOHH4Q&IuVb98Xq~rgN32_6 zO<;hWi{#<_D0WYW7i30_y>j$od;8q0?exsy_Qr+l?dgxaA)0(T1KedRZei@xHWG$; zJyAG=WgZbUy{FP_Q_+zG+abFw$kDhhjF$Um4LMui{;>!5+8bA2V;by!UjH>mQ?4Ea zF}(2RQXevkku@)h2Ri(sKk8#AZ})BYP1K{5wKCiR4<(i|oq)F)UOaK`;uSU~Txx4e zY(tAE{Qv+T(xq~)cafQO<`Ztia3gH^ff&6;ngoLF#rs7QHG zwkdmsuS{~{&m+oa(H3-qNR@IYE9Gqy8d(~vPD06hfTAnFizjj@kjO%edY;DBG#>Pb zT{4!LFuQ;UyGT=fft3-?w_3+|JzspDX)W&}`_nVW+mGMeW**+c)3uuzCN8#qkSu}-8#Ky~r#zjkN*Mp&f0Knxk zdMi~h+!Nt1!_~BzYpW89#F8y{j2ejD zeb)VWdmxHIA;5~D=P-h$pP?^geA1T|4DrNbOBW_$M}bt_lNcQku5#cnVE#rCkKQsq`Sp7BAr3 zab%F?J!|aW&Ljk;DKOYgb76IDtsNYir2>D@u1+0mlS}}8mnZNN#^v`nzN8GO2!y#Q zU(A(6P!yl)%F_I4w8khmn}kCcEVo{E7lB|>M2FM|yfO^7JMRv$)2rTJ zb#9wwdFyjee!2CKZ=1GcD0vc0Zv9%$MhR+}JbrDrwH*H@ZjJYgGIr>biiejz|?axCFeDgB2!vT;pzw?NkGsr zpHx;lje_$)4As2VQ!Efs3{VhQI85XIQ1**dzm}@mGi&;yX#$noeuNlAl-Ghs5~`t1*W6uO2sY`F*F_w>vj8%|O|Y&{w4rf<2U!rA<`nYfPMG;-E!zNRI{33G%hc zg6(mPnXj>b@7&w%m*?JVr$!I9p;ta^t88n`)GTCdCzyG{n@Qdbiy4cjmeE?$XS#z~ zRVn>h_E9w|nqIF@Mo_IHM@{_H&NTfu^lc$fIH45B^3vFB;zvbyeda#iQoZG{BiQ z>G{jKaHt<5Q-4xfiS!c!hIQd;i}7ySxJ*8m@nYxjs2(jnX-}EazC=^p*SIawSNOrT z*I0hDuYLB(r|syzlWZk@w$0$pj`C2jeAj4x+l0)Uuj#ieu8b2ZA>-wRqP7WueJNuk;%LJj zxQ-!Rl4$UV~?-VYw2Qg-(b^VhHn0Hlj?y0*!Q56El!emrfbmq;;0N25MoGr|gDrTE@#_ zh-jToSf&)mL-CdM8yP)3KpK>l&la!Qa*d(OGg7hiJkGVhFf zp6-jhb$Nv+`#Q6%H)t9j#IyRv+1J~-v14tVDHgBr;)?kTueV3M{DLP4n2@D}_{JEE zn+#{$V!S+?W4`Sto|b|ja+ry*bj{#BG2H{f__L{OrL&Yqn2O>2qII@@mfPUKe{h6R z+jgIMVH2#&Jb&&?yZ8NV1`tNuF}BRvyHeY&`JZQG)q?aSTVd;dH*1{j(8qks> z`68e{dzt$>#myj0gP*8A&S>@AGpl$vFN4h?}W+F6{Dc5o*e{5dqi9+W| znpZmkX`=i9PP@|rG9lsODWqJBNY@=4>AxbQS>7N(!i+Cvk2p-MFjDCY3!;PBuBh~O z{1BB8IF-LBK^Iou3t6Ndl_Hp;yexAzjDl-#V^|4Com< zqdsRZ_!lfxUfp5&hdWHLSB&$2PMDBsYs zZ!AVeEhSW0BbZzpWOHqshs{Bj4P88R3PZ#03mC&m43A}C|JD=+Ylx{9^E_yl))$Z& z{VF_we5kEp(EsrL$EG>m?`3RK46lFMXr-3J-+^ zJgP+=KI`c6D$PLw-@i^XaNmLUdyLiXCGvN8mL@UFb?D>rEk_f0j?Z{I_LFCG?db7S z7<}}aLeO}}_&~o#ox^&mY%)kjD$AjhAbB+`^_+A$!#9DEoB9Laf^^y9q7qr2+KYNK zx$(#aK~yXWIP4NA8zj#75eCpC^GOQUEbawUp5iEYnlur!2#chQ#C-%=o;)c$U{iU4 zvhpJ6cyB1GLzgxmOy|B$d1!;vWbXZ~iiUCfnIKW<&+-hOvd+(0rBcu$fG<2O_I}F#NA|bC+orR=8Ge`lVMdp-H8F1WzS(`a z%*Dig*+`j4-n1(Elp3aa$dS zs%cx3gr2NCYquBgwS&{M^iBCHd*u(0$S`x=$V{n>qOi|{r#uyA znbFmCHyUJ?<~k1rU#My=A7-ES=R6$dRu|gQsr~T8-d;R`A1}@!3mevyyJw9fWsxVW z86X!nTQ>=>J>Tg(XJl~$?_rDnv2|WD;qs(kUVAG$uYUgBXW((^5_@c6U=C7NXO5q2 zGxS@{v7^IBcRy+0Kl#25vsiqGzO`YN9Xa~&>Fv+k#F>$Hfh9_h9zJ69k+qBa_hYo+ zNgcBUY&}pODc+Qe1#J1qsB%r6-d?QH zfa8BM=-YHxN3NJVyyt>{L_?m|ldL#&_U#=4{_Pb%1`E!+C+`Iu-H>OHH2h^wGmu;pcLj zcp6-%C5b(AE{^?ZPpPo~_{Fc<)}@Vhh9~zYpZ}pfV!`nBH{T4sJoS-LcuE?WhU}#`d=%wpp3RSh%ER10`Rj)7@=G)=_r1KVGLO!pIE+Tm>bPwg9GMhP^jl%RAkuw{3UfKU74O=G#5YO=-lAud!x+I4O6jl9ERx8M1fi;_}_EF*8E-M>gJ7G?RR&+=jF4rY!o@hI?L1T%&9Zv(Q-z+(QTW}nJfxT z>09QoQi?@@)*wD(XidyMnAVZ{2A`?ZtpsY$>acEh%8Wv0;C7FXkF#xxjFW^@ zVdI8x`XjvLR~^=*=ZWi11gjL13vSn3Xlup8(CA6+tg%5Ip2Ue)-lyLQkBE-NN#6Xs zJ+dDqXU+~4c828#n|K0S{Dx_EjBk$7RHU%!{9R{sOrw4C>Fsv#;XdBmH`<=iCvf82 zS@^RFLZ0MbkEY9OtvTxyHlYeYzRP&W&mXkOae9;6=WVd@+#;7l> zJZ&o&c%A~OK;~Jepipxi4?$df;lp`4ldR2~-kL^^j6kvhA&&#v-|ikk6C1C|EPwgK z=k3nzyLj0c0z9|1&G~j|>KySr$kYuCtR3uTO3!M|ib*A0)N{aatzcv|w(Cm^ZEKN^ zWZpwK%S`)U{pMHgDKBrGILUT9%pn_s-U?04{TQkJ=&|b)eYwT)v7_zqOF&LR68%q?0A+01$HPMZ^g8wYwz2T*G17n9K|1fi`t{gqf?_B%li|-gMZSC*=kH5==;#o>+memmBY_nr) z0_34yo?K-#4hUs4IIO79ucPDD8`;(;7U|rY8fUl9q+zu)qB!^SoMWi6+J}{Ss>wl-sCKJWO5Y z3C{4m`=Ad~c}AIIl7+Pj#CY;EK~rEKL;3Do?&feCn=DtL!%}zJNseG|TfC)ZXM!}- zERucWHS$N^Q2fk(?@A^7$r`n>^6yQFE-WL9lq)hy?z{ZyMYzw9p~}KM?Vx;-FG_1# zNu=N+S4E>_OSYY0b5emVl@zwu(6AVl7nu#;j%Ydx#|91DV@i~T%{y?~j$M_b$^Ayjpb{`>^wV^)|E27Ht zO)zk~5|-UJ0B!x|VOk@N#u{ebvYIa1$_j(>bQKX|ja9h@;!YOAL_JdijP39Zus9Am5JeL?Yx@`*+G%@zWxKh95wJ(pYbQ9* z_$bo)5&+G6RSuD;hr_Ez6!BQ@(YG9_2&c}=KA&^BSVNo@ z$plK5o6O+gGwl;cI!D5oDhu-s0i!J84nU1a!8sAcXZXfySfvh9aatg@`}r)LK5${6 z1@$JQa%^0v)ki@iDu~uz$3i(p(!B+^w|cbh%jLghB z6KND#bq5{La&eJep9zq?eC8ra=W655onK=WVpY}5ZaA^TJn~Ekl7304cN`<s1(Mj;C86zpNifx9~*(>M<&yc)0 zZ;rZSEo@uHvyO?s?1=r!1tiOG+Rpjq)YI3}02b%Dj(e@sI}^zn_#|YU z6Q)*?E|xHEtRW$+Asyg-5`1)qGjjkcNDKdz@_!?Dfvm2I&xye#KE9(vwae(zo9N-;jAGG6ywmMndIIiO#( znFnU6;%YI<-krs`l+R=gER`FnIw&g0!D;jot|$V3(OfIE0p7gFU3i?$;XbR)!{TXt za<5p$6(6MjYMG5d_cgUgGYV~2^o6CRib24+o0KTo$mB1Zb zvR;5{M-k7>?I1ae4F0`0kD~lg4XbcFY8k0eJW zSLHr`a<(-fw+o5h?A)1zz8(&?j~$pRY)qq%flPV#ijQ= z`mK!kz(Bw#CCrJ;ws8*$rP^r;bMdn?Ilg>3z8?6W=Zg!%L@p?NNNnu4?R6lM7qD3UB|(lwH>1RiMQ(Njpr}feYl|`S}?)q2qo0eEJo2@TZ=2H99c0j z^p*BV&>eg?Z;$|~G*(2KBf;D%v2W(rHV$qbKcyvdI$aw$8@0WMF~fT=^+Al+Agpt2 z6v5KSbNFM>({tT%aRzv%WsB$f4H2b5IX_%*p{dY`6F_i&%(_s_t9SDoabgSN;O^3L zAKQ$n9@FdVTXFKhi8yg!D2AWCiH)VTxPI+wj7?9(o!5`iJJKHJV0z(m4CBkBu0$m; znlT6$-qnozrGppZC}#3nk(sAgMr(UhOrgA&BVC5T8rbUq=(Sy4UmH#PJK|IM zY>ZBgNBMGjOyYBQ?({iyUuWajS9eHcw-N7`$D- z^423lyNHQbT7D~7e5zP+D_nJbHsc@;*@k`W9l39RcSa+WyU8t82np69UZv5;dg)Ux zAbk7xw-@E|`94oLFJ1A+9w<^iH_+u$rd8%xxXk+~mOhs@%zD36UFr{Kt*Q!m7bdb8 z$9aEgOl|3xSLs}4YuM|k*Yjq6WKk=~U&TXc4iDzZiLq8ybX;ABofWa1OewXUT%28q z9ylwBl{HOGf5vZTK04S<*kwlrA_7NRlycB0rQ*-dLHGG9I**oGm`q=qdLq&qH(Ozg zO$lt6$r9qCo`jHy#!YrlUdA%G6jj6n&JNGU*K7~f*>)gSrg3b9d)b7AUcs4iT?H@i z6_l~db8~U5V}OKk$K&PmVYZywh#uts1Wtj#4$~%&i&p_W60pMZSs-YxzD_3RH{m{3 zVcQq+lwYDfpfp~{D7oSKb_fby)g6F9-8Fn;YSQ}{js;@82@zdOGe07i;+6~~V+ri! zm^yCB{Yo+U_cvcjOwGg~N=c*yL~%@CBDn=Eg(lcr!kDncn605F+MqotcERx;>o^?e z2hYaC2UtfZKF1H={t%PQ*I%FCi=9@SXwhrgCo=(zFHb;t(WAiO?x;vdjB`K2O+>X_ z98@ci3sZci&8eD{>hf=T1SKko(_MvhhNBk^lWOm8g@W!;t?_S2af#V|Sj#1ZEt|4Q@1 z9DL!5=xpi=1qI%|zAXNXi6{5Y7xhtRnn=-!sXjl)(7Sx)4S9d*XP)w`%0>iwHLrvC+qE0y>c-!P zv?5z@O^Yb#?~nuh;!q_-vO5+&&d1_1h>A&D)_4u}dXadmLtO{rBmtpspSnCY9?@{2K&T~^Rk$0Qe&A{E5jX%T@kd_~})K`t8UyTkms zvUy#14F}nULC^Pv%>@VpMhl457Tl3}u}nEU)O$S6_MMJb4_;&n;cvhFHsEF!a0;yy^Bqy6& zhQSQkmV`;fFRh8oTDtHNTS2s0hv=;#if+MKT|s1X>$5wLo<+l8UmSqg96;opV7`{- zSD5E|6zM96;X2^kUb>!ss)?};ZlN>W1IQ`OY;W|2ou7%aKUFWABp@P=gAU| z6ovC1FO^=3Ti#p{2JaV&IF)nDWD9e=9XlnAP2R@18k&@0a6D@Z6+>ZdwJ^lYuqJ*g zD%+oI8xJlXC603*g2oqp5N7g;eo)#r+a5kC=%Scy^CBQ0YKIJKI08aC_4ddn57O&DVWt;;%-3#-x6(r@QU?%e80qQJ#DD5a3 zWi{OBDq|xNq>AhImh#qNF!K_eRc{OIJDio>9{)TJph2uUJ6$xga{`!yU?W6qk24aR zbFLyuy{XTJXQ~$-WfD2&2y-lFX_Wbr(h_AGaVHc3%<1E9Jj)eWnIKFP>=OLj@ULvJ za4Gr`DVE4cFaw9Rg_d$1j_YV!cbw=y9&g^f&8YqphmS`YemGjSv|Q%o(WhK#ixEts z1m4mzUvf0`fdl*CDwdx65TM+u3rkL!#0GAn0zHiU&KjJT+{WzMbo|$&pJL=Xgs>b3!Khcn=g$zLRM_K$LQ5Dw*H-rQ!#T6H1~&|19Sta6MfbG|2T}%M z* zTD}`ygXBGjD9vNt9UySl@mO43LiA!s8?0_+>!Jf;K#R+Go;SzAp5A!#`5hdW09+9- z-@b~qCN?YUXhP&;387z?1@%z0yqztV? zkJb?Fa8I?kqV%?RB`5lE{uBL1S&pYW;FE2W=r@vtP9^jXFd!0dU`#1TxdXFAzV|Aw z*Tx!&3|AnS=FifaEQ|_g&RZ@5fV23UzrV~gKPbZl`ze6tu`ukt9tz8RqPG<|!w6*^X>{;mAdic`#7YOfDuL#L1#b9PvY(=Zc%~W+RQ5_Q`TPS&jlur4-iV zoR+NE+j#MnDJ0}NoJ5dIrSQVcT`hqAO>}EdNMxE%UN}i2@_6*hS?@pEnN&; z+4S*om6XSoXem-sX|CQrIVXozg&t+2-C@ItaNoNf9R1vj&wS-;SpQgO5_rupw@GZ< z1}S5v5Ls?Kzr&6(SK~OoRrBu_@P7V;lU;k9J90EWjlGYF>5p;x+eG)2M3eSvSzU*dT7y6>F$T+USEe1I7b$if#{>G*;Hh}_^kjFQ;H0D@a->4HI3VXDaRJg2KRA`}n9&9lDmaE>(sKdloNy|^N@<~lU_z{Q z`T$Pxw!!{O&=mD}u<8sJ2AvglAymS?FQj-l?^;|(+M)^dKnojeDDBbMqikSF|-7P`eW!CnsW(EuPd_RpJvPf%9d3`DSo+ zqSW%IXpyWvCh?;202w3VTR@N#bjBrm64ydJ+ldDJa;hK##tLRaHjx+BU!a4Qp{s$L zVau6mID_Ax-ija2d>ey!`7X@Q#c8;yNh0=*sXKn^G$ATDLINNiEp6-$Ll892onD-Q zJ6TQZDGx3BEq2gm#d>pO}h2$XVeL z{@c^rQQy}|hJ&*B^l>7Nb{~v0`;W!*r_W*>BGCasU1xD{&&1^=EL7_i@$IRvSj{Wr z*1ZSOPlkY@wq_6q%Oi-mOpK!A<^r{axZOeSt!~0$oR0;F=N96b7Qt1Bsmk_xoKYoY z6A-YfrkXf&=os6|R>n^cekc9$WNf@#i?7dLkHdq5G52PQX?zj1euu@IiB!9Wa(YvJf!NI>8E$3}H?vv>@+gGcrq-UZ9&}*@ z3^{|sLckkip6G>{*)g6DL8ZUjY>#$?1*QMM!T2ybPG0u<6d9p{*$*DatLTg5D!C}m zc|MP`FMroPO54M|yX=d6+?R8-eea?eU64TP6ApRrjYCm}yXgCW_wsCB;T~?vB70R+ zQRx=HOV8t1Ch#k!&}l51mCfbO;vP6B8{zE^HV-cYVu_q*6=_-Y{6;OGp4syt@xms#)^(IcA+6|?b5(=V9?@s5f=vc=t)OiJ zUuM`uC$x$_NTvP?_bh_|t0r{goC4)CgyK~15w`3)6~lNyk5A7*tiFq7{N!#uxD|~9 zojA`{#nh)Mawi>%^Zi5d8V+ofoprkV_a_247E2N<7WeN?T!o<2#9fs2`v(t4cW*D* zFNiTjTwKDl9+N40L6rUIraC~h3nwoiVlKtok&&p|Ps$nKX?vM5fUB%xvD!dX-C{vn zBc!I4^1ZG5;_dWYeYy}cZ)WnhE*hQ3L9_3l*dC#Mr z)7)E>^SYChJ5BRb+=aK{9vx+!pB3`VPsRA+M9d?uuesAVerRhfY_@-`+Pwo=tRcGT zI>TaQREkjwn-HWG!jbyfMD|eMV7z%Z5)Yp|k8dt~!$asJgCUHHpCw>TvGR+dhHIX; ze-4EmvQPQ)TK0^7I|dx(DLzZ3MS(miDcd^`zYD@|y`JZ;ym9aD;vU;o+$8Q4@bANm z^Ir2+(4FGW;*19HykN<_#^#yQo!%l+@|kyNo_4aC=R6}PV`KL?9uFM=UlnjwW`HRC zmkI!I@_Y*r6%aDZszfY+!n=H4RzhFt36|8ACV2)>L$?mS@)Z zT%PZWuTCs2F7#WmqiCaTwePl9V0MJK2QkbwH)qWObtwE|4CTV~7&pg~;*92e2XX;R zrB=Kq+&9*j-BO&DSJ41~L)xO#A~d=wI0ASFEN_Tv+cPmX&vsp%h=go1u*P#o$H$7; z$-45~x=Ftyt*FNNZD3$n#^7+IcQB3(NQ7R&L4A(vKl~7L^Rsae<3wveoE6IS2{u?g zOe&ZQ$4t94HQAhC)hY+rRy*oM6_EKE+TXBeBw3nmMmaw zb=Hq{d!TP1UXHwpr|(`xc_VGFLF5L8#1pemWLs&tt~U}Fn!q{n-7&F4MVn;+68G$` z4b1cQrli--UyefQI^U9bPbAl7Rs30R9%nf(=2E4JY1oV)r|xbUj_LPjx8mXGlbFWY^5)}Paqs2* z*sUvLa|ttT>hDDy9{y+RD5lroh=$n5@8rOVc=6&jdlSyY58wTO*nv^v#qFp(*hHuc z85Y=Nw2$EL^GDCb=*ze91fQ#pV~5avDOPP@n3$#Q+ws-u%gI^Yx^p)=&eqXCAR5|RlgL7qAj;K*muw?`4zVxZ z!I=6y1;8-hK<5V7Z4hs3OFICKae)P}z7--}3UgvbgdmBa+#gp9W*R59e@23#?k zh!e?p2sH^)98%u-6j6MaU^7do;}KS<$Av}$Ae=g(!YR)x-p-NmEGbBI%5RBY0k_@{ zZwt{YI6Iz02>~PT;e2_c&QBqH< zrl^d;z9TX5aVlPpjYd@)L>*TS^|>}hn3W)BA_r;11RA&+fGA@vk^D8$?6;$7gW z=jcPe9aiqfKZDshM@G6;=mE{->3ONgnt6=xVs7*7o*zKRHg>vgpKTrW>#E@|p6O zA`-r(74{#5FPd3Q?A}TxjO8jsb-tvy{_QC<^QqYmu7UlzQgCc#wsDj4OcAt5BB%Za z3m_cImI_G-M<$d5;dFA#t+HHZof;Na^$&^?u1b?+E_nRmS+pL+GF8;Bpe7_R1HDP25P%|uR5w3e7qjKdlnt79WhCqW%Hp9 zL`4+Xa5rvCZp@}mqRVhDgXpY=aNT%4{5HlXrsEIS{}4+nEAh*t8&T6s`j=)lBz^yh z-E0oTS#(?aqrDm*jjrPZ5EjHV>%zxsj_{{%2CmY^+PHn|R&?y&A3cW#*xea>-wOUy zaC0kqsizZIapBN;yz1Y@ z0|KUgjiGc6a zhgvUiV%>6Ja&q~ia4v$J_s@AO;1PJ?+8(+T1j;#2EMcB)pD&4~kM_LxTers=K@fXc zG!@MS-#TFdZgL&>jg6`n^qh!zSVDEkUEN(m---VonHYW$xZUyRB6yAe)5}7n3Y_BX_A1P0= zwzdwOHaoJ8X%zBpT+3a3y`B3rRK(v77!6f%FQr$hp1LC1P|$W(NX{X;=OCJ1SpF&r zDGjNF<8T!d(2zs2sVA;bG1X_Aow6F`I^fT9A#Ob5!PszA zqDVe<=ro&+-Y2miLQg%x(hxg%pCra|dIGuST`zsM?YB>9z2wq11u`k3oEIF@7H!^P ze3r42?P3%N63|sNw8dw#N$A19ji>(IcaLa3d-?UB<=$!(&nwYFfNxzD&xczw3}XXs za1~-Sk4U&xjY8W*PKa>>$BxA~4yxw$TGzjcbR(vHSs+DDJ1Iwo4xfxSuSVj<+mZOw zcmF~_F&x^18&Qw`PM@mj&$H3f3TMUcHlN;%5qI||BT zer-f6^c|8|A$mewTp%SPf#|?I#bSy|D>MJKM#OIIG@$kcNl(&*uW13xvQP9_d;H&s$mBC%@lFKQrfN)QW#_Il3my|w7 z&LS^}U?v~*hzSuPMK~afIpZEVUtq$svix455Wfq8BR=T&21q6B2!W}W6df#PtdFZ<0tP9)W`i$Bd1{+hVDb$s4#=d|_NzCyIWE16hal*yYke5(BO=yy6Cr zFJ3lfubczv@6xsO3dmEABe+{C>45LuzIQ{VRL!zl0E?FoV`7tYP|TrQrMrqw7M0jZ z2Y&C!3A3UaGBC7bcK$Fmk=<^C>)HpW6>wTJa9Yi6q`;s)0Yhr-6ekdHZywW8>+-F6 zU%ih^OLl@$$Yg_bgi|@_Zc&s|gO10p>MFs0U5JgWV_3x0C?$d6`Z+94`#U@0*{iYG zPfn(05TX~3Vy79=4-48BN_bUAV{k6LB=_q++8^b__sO~0=5)od#~_TGjryuYbDRD% z%&*4A5&B^rEwKV>I3B_1kf$aUo%TMT|z8OC9{E_l%{e;L*weU zP=Y^x#m>hB2G{fad#@fwB>}{>4RtZC7*BW39zPjV?F{g7& zGmIqe75ZfW06+jqL_t(@!DKjV-6VwD!Lqr6ZfuPeUcatY)BZ?MvGUF19&sMSMst00 z{QT=*qv1e%G_^qJQ2N)xowXCL^$w!gjnn3}Q)gp>BziYTo<_%^eKCVS*xA10ac1yj zjFQH90;7qWN^CAMr@)60E6nQ|U5S3ul*(wR6PYSp{visfLSQlg(S&92Ai1{u7Ivtm zNS4O|NNKMM7%t%h6YigIMiuH&5V&8l^_j=87bF6$7G$MZ%$5z8H-;S1_MOnw&I&6byz3P=x>6~Csd6BE7 z3P|9`Njj9<8d-xEomGi6e-<=MVXw+B*IAf6>#-|Mmf&|K`WHo=ihUI^I{7I9tQ~)x zb`WK24mW|hDa6CJ6s=tS9PomkGx!ofo(&GtBvuc}xrnKfnhq$l%UPwqf{s)d1(Vw` zKbHO{=62dZA-fL3uHyi@g9&H>i^|D^Lvez*z2Voxh+nVpclr}ZUyB<<6C6RYdd$I% zm|sh`j5=~jmE#S)0!QR3D%V#IF_2KJw6BLK)xbR|VyyB!IY*5QsjGsp7;>`-Yrm^< z7-jhy{$Pqf4I3447GgBe*bOtV9sQ)msUwp9FHe4q&u}oi^!L{{_tI74)S;7bDH}0D zxY0%}{Z^L+Aj&!JU=bCV1u;jZ4F4mO8eH}AV>=mHEP?p0<<GG#DzgIHzgnal*BtAapUhZwU>dSoqF z&b^sO*DE1ha0m;yVrWFD0kC!KZ0qfex~|4dgK}(tfAlqV)6TM(Z0jd!UKzw{EN;Fg zRuJE>894Ey?SpX^UE8aduj2W~k!U-(FBSNL8{oot+H(Q4&?zRNk^L54ghdFoWTs5w zn()Q%L=3MngVK6YPK4njVT~2~#dqsu1#-KAiG8Z~DVNOPn;wq-K#5W&?3F z40g}FV{l43>>6=lW>9$|#kDQwv;v*ox8!d-+}4-YRwaWb4Gzr3+R7|*DDGkKfw&va z^$C}fJ1_3WwIi3}GNS#juWtly{VZc}?;yki*24W{Dmj1nT+B_+<1#ZFXMvv@;MD8M zMcSV)eYWo`K@xZ1P~szZ%u)w|rx)s+Sr}Vu*%6xEh!`^Ng^6G==pFM{&<010(>Z32 ziDT!9JjbEr<-dQ4(_G1$irbRdVLNJIrpxRcBd~`MRvdYz^7-c_pR!rnJ7)NkkoE-a1VWN%pL9OtLuiSpCLmk zqmEt&p)`&(_eI6Y>tr>U!(zA+uim_m|9pNUt*D244yGRCEyPUBF`I^>s9SLrKt_BY zgsGlP7*#8*fX4hm*kC;%P_$2C3W1g5%RK|Nj}n}1elUI7Hg-vjhc?>0-daw3dJmuv z!<`d-nXLMb1d@9t*y%(INTgRa&Z};IsSeJVK;!e=fC4}QHbhwTMIdJxbuX+gkn!?; z9Pd6JgDpMLzS{^#up2#HO))%)Qu*2asO@XRuM7XM`kpvPQ26lht9Uho-`8M2t{-x~ z)KvmFr2z$(LMO%QCIm5miuuB&+*NYJc@iL@Y;H40+lu`ML{d?SIY^imXlc6l2?tq^ z^%eLaq9hjr+{u}EUpk3W*aa+MevgZ>ZPdL2v7g7U>N7djXMlqxxb`)SA;z+9A+pzy zTJ=o%QO1wo{JB`Whh!t;Sd+;m3$OicIAkCivAsOr&VG!hw+(UX2#fFfQQRS%+lc8Y z3>$FRr%#@awRuu|zx_b8!1**#ZQ}bT)s|36&qW=P)_%IvkejS70KbdqC>ID6*R5jB zjaab)*OGDL+hbRw1LD7oW+qJe9}A=x-O6Gs*-=5k;w%lJ+gPuAyq)__W(#T=8nYMAdIG9 z^$NR~#?ifI=1>PzSA$iEfEh^FKpbWNb-1bh>O?Tz@2|m3PkXjtrJtf7dhq%Q3HeDK zfBQyefLKX%wxNiX^)2{nu@yUk-AlA#35BSBG}{oV4LGe062>2v`6>PsUq$zU-k6>sztrL^^8s&|?L5zK2}eO_a+qnWT!IE#2@YiiWPYfl;x=9`$kgvou6d+`XC8abS032*0%ua( zqOWp%I-sf*Q#GNlpq!R2Dx1{5TL-6uPO=QWT^U@vc=mahgp_4Hq|VVWqc0<=byk3Tv#!5^d^7BWTWY(89~#952(G>g;33K>-3ikIPz-A8iW#h*pGjrm_Cjui7Tt;0W% z=jbCRO^^fBT2EKu#+dhFIEpdyp}tFF<+o@2OwyAFMOz92$`uL8fAdN*<)u;`#1it` z$*~~?2IX^7ndt+B1pbo)R{nO@g@K|sn@XH$G4*XWE0!McX^4MjWw&+qVh_k6b- z1fWBiA$tNT062%1Nil;}ybMK3rM71#Qx??y)0&vDR^rH9UFS*sH?=m4s{wIwOyB~m z(-IuX76XsKl@60y0uQ!yp~$R>df1{ZxR_12joR8~Hpc0SrOG)hn&pV56Y&rh`|^3> z_>lSEp)0yfXwLM+WZZo_98Cw>VvewpRaT%?TmwX1_w#G7B=I?%Tp37gfV4hDmAFSJ z3M(F!p*%12C-#lOl?Zb$Q%sp4b<7OJ^F?$WY9^!w?hcXc){8swnB3>(h^7ZRyW-4+ zOY!~r%kj&t+wmWdeu?XqU&S%D7cYYtkFjFA+Pamzx}_}$;qVL@2!@;D!jW?^NP3rt zAD=^5oFBzTl;#d8NMS^Q+p;T2?7+EhB>)4w5)S5IGZ7C|On9K(08zTO02gUlQxt$8 zD-^io!rKC@5KcphNK*`!C4qJ`1h*4&6_!kbkq~42u}H(rtdVaXix_$vaz?~wa*r>f zfIr&XA7}edklJQAM&~Bu=&9qVBAGiB{&H;+Db4hmfRT0!A97lX3PPZWpzbF*0FH${ zTj+T$&_)AL9Y+$V1Zc*wJjdONlwj6Tj_;~2;GTQvD{Fcx<9yo*0>`J~_RB}H?@%wPjS!z9M88^m>0ZWZeCPTI=C4>~KE+eUK)r7+ zOu%Y}6hW{yz%ep$RJkRaDvOwv;a>Y0oC%onj|9Dg0;RlubRcO`uk*OVgC;(7c zUH}A$kz7?ymxRfic&oEga?cmFWPMH~KWv2XV52SP3RO)$_0I0@_=Nd-jg1eM5L0TJ z8@N`iu;%QR`;h23>G>SO4SE_5u&c?zXe(LrYHRR1pJ7!XkUG(YiCl-p-of?D&2|6Tl!xeE(kMr@h&nXf%(k`uZlK|Ui-t{f@Y%4_;Onj@#xKRgIC~)kq zA*KPLy8y&49ODgkZ263TT01QF$C;1ud20rsP`uiR*I0(Ou&8}?=Ii+W+|~H^+dsz* zL?(9v8tOk5l^?3(Jvt8T3T(;FSi+rF;6wHp#eFU996CA_hqn6S!G{-7fwI4fU|%^Y zk;(iLCD)pCw_&dL@up*zacFitGwOujT z)e|44Kg6TSr%4bhpbqnh83Xl)Vu0M@PdWeo)0?eKxkrHDFHupsEW!4SM9wks+nVfuE;>AeBd&=P#kY8sr8vh=>haC}d@E`< zloRmn_%M#^jxh~3G)O68U9p`7Z=A*VCvReRYoUSMchba6DeR3DTK*k zoGYUdYqbPqmpt@4Lg*+H%*gH%}O-FYb&vdX6qfD8At!P35Snc%iL02Vqs6*W;}BoHFWul z0ofz`ocM>AW0eEu*WVxfLn$xPHeY^axjf>ym=CzvQsb$`zl2CTBX^J;qtpC3S=k`Z zdW#?VvYf}>;}bm3N(T^mZqIMS)v+B5-4Z@Q6|lCu4Eiie?)gWW*K@8lqK<-HeyJDzuX&Wl^ zDgW^OGcpI%#LDq4`giP%B8M>iBTyC`=fy0X*B3d&c2 zW(>>M2NbCd#1eK8(xf$S+3W`pnYYW+QBIv#&RmWkE`A+9vOCPbKfW3ND_CgGUamWmuy8XT}4#O?8i7_rMU z<(86*0-1D7rIf!=>@%+re0`l%q~{i1z(jI25V2X)ypX>5*wC41uW02S0=KdJsR#Km z_AzRjYBTrL0j+>T7bv?B?-$17m)G~=$@`a4%a-*saI9z8mg>xr6Y==*BfPkm;>@`V zzzh)z=o8B^P!vleM4z?@lPZWc5XrT;kP33@@-jgnzeUGfV*LT>QHQq)=e`36ub3*4 z&F#y$1_J^i;SJnaQPxE{E`hYZfn!I6-+bjuJP=L9D^Gh~qPIunJZV-DeXLqt&yXhS z;Fy*-n&CcC!Xu_Hv47(naiYt>g3h$&G#?y1g6e>K>RO4kAayoejA^B3vHX@n05=g2 z4IJNro6VeJG7PNlc5@r}YQPt-k$bjTR5B$_snK%cxp^wb5>yxZbP0kpuAvh(PwG}Gfi z2VDU<3gnR^U{;XxsfbC_TBx6s9Fee=t1Tbvlu^<98RhMNB1*k#ej5!qT$(Lnv3fmP zTZzBZZj30lgZW*{UO55EC>dbRa5aEaSVDAhS7ba4aOjk^2cQr?o7pzYonJOVvNt1d z1C>owUT%nykr$*Z*@?z>oG$^?YJrVeY*(pG#|6e605M|-!46Z!xIOqP2qBm3pNW3KeZGya9m(E{|8W3g)?s^xF zK|??VZ$6Np>;;ynsdFKkb|ySmvH;Q^+R2z>lRkn{?;D0VVg+5JM~4?jJ0X0 zD;SS#H}|=&WP1`35Fg=`k{|)Ma?u!x3tVNMchLvZX&?cfk~U~Ss$wDTu4#^D3`(74 zZ7ev8>~Yu}$8Z6vSllHz{&ftTI7!wGt=aX_MLHvHLA<5~43>{iD&WACUeXQ!l5Y4r z;cxHi-+k{9Iq3_<^CO9qmF0<2VUA1ntIN(YPfm+MjA!v;Fj8SjW!~yUNzjsO;@Acy zyDa6-65i&7^Jc~K0lFnvo%K`!R1!rw6&1$r4+oTGXWaF;oC$Rz1k4Id-Me^bk1u|T z33N6!nEp{&qA@|{W~Hu&$QXx~!Nl8$bNKq`;jYh>O56(Me63sW*hn+BrwQ#~$DEdy zM9%6h?xk<`M=xo^Ib@F|ie<2EiV5`uJg2YIHk=s1*uC2k12|u{f9SGJI zqSOkUPX!x)zGlz8@)tXC`tVPMcDQ|_U}>9Fp#dV&4A(R- zbIBqoL1iM6U?d-@NDI;nvqn-#VO_+NbEI7oHI?J${n}=c&>dlwu~}ujw^;a5l;OgI z6hkT|_Yqjepwrpd7FF$s$Z5A2qa)+^=AFaZet^ytzJFEBvt!^`NwB`WXj;+b5;Z__ z6ZeY|lv?=u#~Z#-j-)GDAd3{G9AC$_xXmx?u$N8~Zw~WDk9>^Z6w5pOVnU#-Kqm-U z+$`nXdx8V!5u*yS7b{ItRyqd=0CXy9c@A&Sr~uuhZnvIdoRZ6_fXk58#08P2Y{%%r zhxoVqe~zK9fv80HRgdz$=RkjK=|v4k(1r)PH>(RPL&2oQ(^S1qobhw(D9e5BI@tm? zc$+7w3Vjtgz(l&*@J(9_L1>$RVzLO#eTX^rUp6U`ZX>Rc>wT!9Kb82q0DRlAHk?e? zqk-o%G&GS%95dL;x)znUByR&REN<5){v0|1MKvz&lp z^hEANv%RXG1HD|`ZoDA>_~tXVA3t^}w$Gi9pYgu`&xgOpzg)Z)hx-o4;^;b=TqmNg z5nUZ3Xa&xwn^+}(WK-Bbp8GbwJAWyDzx{je4S3<)mALohVLW*9BrdTF&9Sn<_;vUb z)D|uhKe1aQH!(<5#&Pmr?OSd_SGG>Jgcine9_!;UTreUvihdQ&J51o~@ei3b^?1`D znHz^8CHLJ{gyFjgh8GLh}LPuN`2TcDMEA& zJAwnn6=Z{*bJp#VQk+?o|5keF3X!J#_;QhaW z9&Co(T4(!);I59wgS+>!;C_nMz7_;?ERMnlv6ZKKhoYmMu%?f*IPwyO0kWr_%E<#R zcuazK5mE*M(!bQ9i7S*T;5)&M$(AUT{23vD)&umVqv#4LjuzO6gRJ$;G4@zI_bbtF zeskmkM7xDedmj;)z8I&>eCZCZ758U7R8aB1{@?%S|DzSj9!rP{me*p5ZhZ5bk3x1YCMgM45-ZEmC@DQ} z2dXH`OXP(vP zE&8Ze)30M31$95U)^%iCg0rY0j749j3MRi2v7{26M=d7yTAV1$F`K7;i$UIj>oH}l zma~jsLnJ726P029?L*QbjR(55s`mPLI8K^ixVlArq88!M3^zH`b1(*Ko1&Iy05Jee zS$t$0`$`C4KUocS2|ZZ@Xm;VC3S}sx0>CJWC07c-6=XW+1_Oud6Msy$mOKZ>)yOe| z{3asgD~uGb%T85y#lbSP@vjpL3R@{`}6GQ zIEaY0AA`b;M|YzUpR$wai(b8a6Z52%IYqdR`LjMQz9VOtW3w6KD8g&ubdL8PARlY@?ScbF3}3`V4~5xb z(b(l4Hy+Wi?(l&FnNDQ}2i4A+?l{#s1b4~!!)dL zY=>}hLB!SSwv2x4%>I+aE*_6}BO~!-WSHH84uSND@`y(g0T-;a0Ocaid;!>kw2MzJ z?tF@H~+!%-r1`ei#cZWaV(%<}%x0ah6!^$5*X``B)$g`TmYB)ET7W0X}6KwrRU* zhL%vbEYjaa^rrd+POCR7TZCXO#4NF<=MSHbHv9?iKf_YlTpt661~UG4AE~JK5g9Rb z=xFp{J+CK>Or4s3bHXbl@TLF$&KF}N-uo)PiqAsDRGjy-RQhixJSU)+UVr;3-gwfF z;`$z4s?-wbR_=L;=OlYeY5%f)rE?N2uX!Fpj!Cs~+)I_YAo0rqO9V}dmb8*W=xsnm zODB;4cy|$h3?Zo8awqWbcWt1ym zE=8D;5s%7Pd5r=v4X&%91Y7V&+eLdwVMEGeH=fw3)`);tG%UOPW+hn^9?!mvc)k;- zPMmY3$-a3H@$g3c54foF_>Qfavb2XJPW ze~2Hhe-pQV{XOpA`8_UQy8;Kb8b6QxB^q1c(g?G;gGkwrZtQg1kt8O&Wf&5APR7_A zfyra{AUrsYB1Sefk?*~VOrR6D;V{uB;s;b#^=%v>ht~UcigINmo95ANMdOI+t6h&qH%&Sq_TIZm-B z;gOzxTqvH!!#B(!`WbLC#odhRV4fJ_Bv6St!hW7`DG1yLO> zoYf+IG4@lHg<(t^SlhlO=UOLfj9d3_vJk(8dp;AV22K(Z^(r0?KPR<#2MZvMyrhP% z$C|zjv0=xu47UOKAez7tEvZsE5&3wf=m&J_U$qK{x&$1%&%r7oW^QUCN4&$ru(h-i zKb*WA2S~|%@Am!Z?C2!7*s0tfQ`}BPK2=r#%PxM3mFqmmYi5y^3-!0eE3KkAE_sGl z00W8Z(g*6IZMH5|B+?WqKKFtce3iaE!_PeLSE;`I$?vRLdg3jd%;0C)TsJU~c!5eh z$BKF9mnALA!})1Yr;B)cKhrpWEAq)YSt{G%cfKWVTnAa*CVdrZzY1Hr35Ay!YycRb zCTU4F<-ZR&5miD=Rpt1X0%KnS+0%^JysVOiV)QOs$@nbetV!QE64Gi5L; zbDR?mvj-uPprLlevS=PD^;PO5($&C5W}o<0`AH|_zLI=ED3m?Y^vy(TQG5E4ZNFIA z595(8F%kd>aaM{WRMsO5dqxyCtjq9|I~>yv={e?XAk1X`?umdwr@X6 z+}+K04+ym|WerY&h;udQeU?Z)^beetp zHsBENef)(uL)(G~u{Oor?gu&GVrg3yT&$rZ+B;3#(t^&Yr+Yu?Owd!o0qMxQhFtOv z%jco(p*Y)pg5dW~L~wFewXH=P28}nbULp!4W`1?IKvM^0^12l_#jPe& zDxAp%qW!hAU!hxRiQn)48WS56(c0LFWAMdjfE)VD%^zd6X+7$RWcW-}#p#~o#9L~^um$=8$w&v^bu5wSDSG9~mXBM$~1>&`g^>&%8re6)Rdl2q4Pa#(Od-~wohv1+vn9zUq zcOX+ilt3a=ftd$flK!J>%~rGJN{)vCK}QTi#UMYgvCehI_SH zJYSsKyCJVK7kiJXRENVz=a_$ahQ~~T_xZB0@-z2AXt>6=R8wn!Gbgo8dDZgX1U5>2 zEvQLVbF3@(I(iB9QychAZQ(!VHe2dAkm3Maxi@$=078YRVJ{6U$j&P|WlHHSfq3Vj z0v}cAY9uUjXI-$?wOGd1Y4;4oaFJ(it03l2<^B!`ry|y=HI=Y>vK&O4N_#WZN2MAs z6`p1{P3}=GGJ2bjSi}DH@h^D7qq~CDuVMl(p1%^;FJ6uRdiWb#Vf_-dCwAg+>;Bjv z0Ut?Eu;>6_C_&^Z6UISYm9zqVn%(&=zvff*GpYxO6>n8)Z(zmL1)~C1zYNDd{ma(q zTSZ$HM1|BV)NvAJtvZF<&!5J|qnmI}*Wwah;&(niLIglJgxI`*m;SE7&=8U>xrSPd z64fhMQ2!kN^V}cf`q%#)zy9_!eqWE`97IbOj)!AEVGSZv1m4f{a0kuw*~I^b9E}su z?h0;bj|Pfzl-;Q96Tr>U#m@I|rx-&J)%P{GMl&g)-5euo@VSFqo2z429qy6ybn7V* zM9c6Ziv3yiTn14)dMktrt)P@%Ap5|T!Ao%f@Bdq*vKb@mXk9~XTsm@=@TkW4@%B%# z)JpbZ?h&ZbW4Wbd)K_vn7SMX%R=um=&0&?7kxLZ?n28j6F=(T#g|;{{&gb>y2r zfqt^TxhFQKFap4BnCrh0V%&t!UjjPKcm8~(_`9mQ< zN)_X;<#`z~yqmE&-hU((CKvFOUx^_^(28~8#PI~6nP)d=X#g)w2hijq$ZB7)RUH@P zNHHkgxP3QT@Pt2$i$W86ROKWMDw#$If>(y|C;J7SlmqJmX+z6!19fU%_SB1_6xH z6mykH!o@+Rlm*~ysOP;m@5xqq5BK_{q;fVcdz+JQz@;p#tC9h#)rfQ-=EmZ~;&=?U z9%L0}^<|PO@yDsrp$%4k8%1)q1pr@V<(MK1CZH2T#HSr3G^PeMw*FG}h#PNMm6rh? zxvm`$OQO8VY9vy*WEReVw$YW;HQt)Y506FWFuqkY=zp{vZK9A|0hu&oqCFyF);8=fp@pD{1@k3nu`g;8I`;W2lWIHaL zJI8pfAimv=r|+LfGh)~AefWJrDDJ&}%I2hRq6r^5#R7zG9B?5xa9j3a7ZKXIG>e5W zQ2{4hL3|s$FXKf9SNfB#1HgmsBs3eM#W#Iggjg-2)7pj;&doAF@|A_fxJGWU!w{3Z zx9`O3g|VpZXkhoF%ZP#X@ypGhW2p`QqkWArMSian?Qm8o(I4J>7{ln(4jjffgIKoB zJh)5r92UA-GSF_apnPD*ok{}R`}g(5DR$mo8(qYY2@a5Opji~%=UEIk88bP+!sm&Z zy+?HY^LA>tA}b*v5OslGmXIkrhjbQ?weLEH_@ zbv2JZvk?MY2@GL|0`AK&P@!{ez?FiT@?BAR0IqMDov!c1d$N}PssEC*M$pDq;-8ue zz3DR_K7FcIuPv@1Q6+@(Ar$YuigUti0o`Ayi^rv6sX~&TfXhikO6RTTACLEHP0T39 zmQz`#^h|H1YREPdqd$tTd^iutW9gXZ_)Ds{*9yMX_ekixBBuonrl4%Hy|RH!q=b-H zCJ%#fluOCY1I3DKMgl`a+}SsY7lZNQyMQ{hubr;?&Sl6w1c{=xKbz*kqLnu0r>*-h}gK4OT|(U16m*&G9%2;k!@olJ@s< z{PWps@$;P<1T5c)?{OeIjCeQ);4cHHMhfUXoH@Ac0AuF;#aJVT(pOh*xlp~9%UBV4 zG%Ks(EG$25SESYqq+VmQ66+y~+6dO(;&Oj#O9=fXEAMVEY7p{T`Ct~U)TbZF3 zLq5#8sbdZV>RkhgAq?xaOYxUi{~rHx>`&;l{w03B_1Ad$bb~z{(UCEauiib6|9W~e zp1gSjVKpT)X^tCRmLT##xABIXEHn~j1qK8~8to!M;y#QeD({bV4&b-bhCs9yuP5K& z7dAuQ_G39OhV7UJxQvBm0|Z*%nM22e@C4GkEB2X#r?RJEO))z&AERUM?9@j& zI@+{s0}s`N+SJ2EB0@y<8gZfMqfU`nu?|k@C%EGN!$&bt9RvoS#=EhxXv7&;AG$(} zrR}H&HguSkSOItCs8i-p;IsTf$cU=INK@9poPoFY#mu=HK6YI=nHwe<7!-bmPv%|R z<}DtT27n(H6()*nnH~zb_C)S-wEX;)}!5rN95ORF?IQ;-SzQsofr{ zyLVq+&XyIcirh{j6%9@}xpkKl$D(K(!kl|Fm!EXft>iNS+YU{%+jWD|tj!g8|C4$5ea zQa59?ySl7xfNUEb0*ed)gzpK1?f}cnxDpneoo$3zz`d-aXE?ybzkInKckwR&`pR`g zgt~Y~zV~$|u%0bjT`3Ink+Z02#A6vo=mP>yM9cHr4oC6)tpSH-3f;RPz(=H0fy&FxCE#wh))@=2maQQ zRt5k*c=v?u;MsEh$RTuEYjOYei--pi-?3U>M%4IM67@Cmfdh<2Y{GZip1zN*U*N z;6}9|sfcGrIIp#FBYqja86#|8_D`q3i7^&~e|_{H1ip7Ahb?^BSNkr(+JbAPL2G_HnR3|^)ycJ6ga2xDbIQwBTF1DYF!v~MVqoWcblRsnltmL9GXs}%UFtkymyN@ z)Pr&2=unJ2eFXuIxOm-+t}FmFBz3}yrr^#T3&9fl&rMu{R#^zP>LGsMNYiEwsf5ra zA}Xu_gA3q=SyjZ#?hc5!NDSPn+)uqWU3DCMNo@QnUd!er?XY~loYR8&@i5Er%`kZ* zuTnX2p{R~i62vc8vfv(ZE9x%BytrHUAnue1`QE$Hf*$MSxpz~+FiEJ2MuJBWC)XUF zOgX>zh*Y+pYXu99bpaw(j;Y(tAlTFn8n3?%M2d-&V6Cv)8ArE);?`^eD+CBvv5WI2 z-s!2g5;j;2Tq%5_mWXmfP&R4($Y(NRHnc_${wwt$<`O*C)a&c6e7JEt9I1 z&p}P=3ZmEZ(Pt=hTjScdSL4T@Z{VZ!FfN|Liqgbha4Qw)zKCBZ7H}7TnK?LzIYdO2 zk?Z&d)wZw`4;v!fB=+wTMC&?!PQTr~l{@k1hnG!m<#7@#RcB8XXw+TYaNhuyOE%{P{C=~AC3EYg( zua#LsR&Zi0a&R|G!1K$GBLpnZ#Tnd4&XBL_-P_T4Hu5YE9`1{GpGMHHq0?R5V)J3P zZaaEB-j9vO%TY4l5=g(TDI8O%Cd7;`%ZPAn;ZN7bk=(#KG^u zO|dvZyo4`nL9eagkVfxG9ln|Eiho z-nR%H=;}p})EyJ-)M7HdO%&O=nS+ z$9LkdFMf~ftoTQ}2cm7Gfp#YkMGx&VBj!6tuK03FnS~r@W8MV(G*KgBXytR4l+mdX z+C~U1lzR&xt0mZa8!_rJR?Hei$;-#jF=plQkj*Jt8c@z2J`t1T1uLW9^@LP3AQEoC zGMV>W?#6uK#E&3`Enz+U4Wji;T)y~Kx&yQzj+{Mu3c|z=HW0ZcxUoe|0bm|Ym^hCZH-qD3 zH?Axv4jzqn!z1zR-AmjJ>Txz@Uq0IM)9qhlrfLb!syb#re~!cGw~ih<8joK*irb?% zVjr0}>gjvE{t1pXNY{jaRs-T5x@lKt+6}A^a)Q0)wv{>Wyh!WO}Mubo)Ew4e4WCgnIh+$H6x8wV_AMY!B2| zhYFwy(f0A%mjs%BVsFWVF~R}``$X7TNAw-&kFgIQV`6Fw@fU6jCLoPpHkGzG_OAL8 z+71IQd zqFRR+weryFyn|zJv@6y@C$fwykfI1j$w62n&?50M$hLwJh2A6(X&p0d2)r}*GnxRi zE4HhmD|sEEIQmj?2smux4^o4p9J{U{f>a>mk1S8db3#cfHmc+J+#sy|6_UddN`rMo z?{D*DxoM_E)i|yRyvN^ueubi!HsZlLO#;?+R= z!K_125Jem-hSm`&#)=<~Om0)|aQX((osl}m-5AC;xU0THjq&2c%Xo+K-(W}g95fs1 zCT=XB1kAO#{!1Pe+eb_E|lrN?)@Ci2M*u@fG0X0`?^Jxv2Y2^cEO4y zBKd>+qLi(NGdGW32eM=du8`Ms(bb{=Os8*SjB%TRt0(8QiP{4-1Ket}$LYe~ugX zXP@mV?+jz2YdM|L2nutWIoH<`2zQRDw_F0odB?%)S&5&XnekWDL+AW<1>in>dLO^M{1x;2G_p z^3Aijbo5;O)46Zr)kl15&~tsBWryONN5{+ww2KgA$A<>y=yD!3OU6sL4pY(4?L_8J zv$JG$XvBH)9Ln0Eh>0rVB+)0qZSBJS=%=Xetk!sos$k88{4G0R2+=R%<@1yc&aj1&VGoRz*@&ws9+OW7)#2Dh+ zo#97tiAoOim$Hc)5}{nQMH?0GUZ8%?=dq#~{S$)IK^ZrN=9b1d(ASF^WQ+X!L~%fT zJ6f8thMtW1kCX8$y9({=?ZfGHC_cU?xBhFydvfk8DX5Dz7g=3>d32Dy7kLef<{Dz< z;W&>7J^gkpK7RNV7cN}H0hon0=dKXP#7*JOCW@m~w9&rFAQJfla28G$kG8UEC zy2v=Us(&)%jO(cqWZr~Z*MN=@cnXE*_|gUtax+zGaydzY!l+ zKE^M2kN0;Rpe*_}6qLInI~?BO6DL^p>*F3-6Ih?ob6t&d{Z;Jp@{nf}pNREt7p>!o+63F*xcsOp8RdnM1 zG+xSAVz8IA$>WHm0I}ZlYjRo2Jj5PqrK>^=QPG>?0BvQqKvw!eUv?nErVvqFFruJ} zvDuMJ6tEC)chH-xqc<5IA0=SC4NjIU70ktF(xH4n39p}(*;kE46OndM7^O~5z^2&- zsaJxm2C*B$WT=STh`F0mk63~Yd+B_df+q(j5hvgv*!*LE4F1@>Sr73$c=d zuL5cPwobl*xlQ_JD9s8wP@^2SSF~d5K#j`=x~PSvmFUK0;|gBx)1St%@ZOA$-hPru zUW&y}v*@-y#tHI*DUzzjSX9r8=)O&s4LQdJTp_xf_F+vu9#i;nP2<{e31dq;`rJzV zvLsI8uiPb|mdC=Le@as#pK~HUWWF$ABRMIE8|8fa%5+4D=U9cgE^#tSMEp&?vvbYc z-2L!r?CjGS9o0?Xp5vidYC9NXk=;505`SB}hn(f*aq%k;|Jx6*)1M?R3~+XaiaoZ% zJYTA4uPO&1tG3}1FDV(n{VVnn zK>U*cskc$@(TM{5^IR1P>)?7&wvRxN>Yvrd8I?a3wYbD40M`f4#@z`vF|CEDAP!8xX^oB;Gbezn?1Y2B zCF9hA6LB7MblI7+@$!E^gH|mqrDXucAd361j~!-R5UXtwWOW6dQ!Dk+dypkJnbqLH5Xs>fVqHQ0uX0v>{jTH)s+ikV77Dq% z8rU#rR#%H#%X#j?1Dv13~?55&!!UCc=>p6wfx zY*^8AgbWi$V)XeN5(G{~_vrx$_)2DekRw^f9mD+q|2J3f`5otx>}e(nj<6&F(18~8 zr06M$lDco}nmKcJ_se|S|8&ouduHZNpm(N&002M$NklaFWpO1w`$w?qmjNC} zn%jv7Pxq#FFwJtXRw_D`1uBOU;{G<~fv5f^e1UEh0X zYdgVij~+Ny-odQK-;I~E=uU^wS9Y*~O-+CcIQTR2jx&TBpcptI#MseM1)dU>*D$t-Ut@a0=udie z*{Tlow(6y5{wH_CZjmJFg_c{eeME{Cl-*wAznCQGI35-WPH zre)g^uq`Yijj$5w+~dyV?b3F8xqOYJwr}YFUGZovLvziG0%kkq!%cV`1AVw@)n4Za#wWl&_OkCaAEHs#-4LlSCfonRD zomA{aLnYIMsq4+{y!^RyZV07m|kVXB>q!y-=#^V!pbDz(tn0!3g!-@z6h@h41b6dAv=SU|HfQvq;FfjaEUeA3gP5$VLfSL>5!G^=<( zeh5>SrriRx0DXSMVt<@f{CA7*a7M@mbq0h*RyEd;W?8yN<-p)TTRYfG9(qy9U;)Co z6n)(EOK2)@k&c_U>VxLD{J>|e!)pWxGx~=Ye3=I=gD}Op`c9K!fqcg)dxNV9GAbEX zq_RNBec7bXZB^1*km(FBE@sqcsb^VX)VGJn8k{^7$z4s0Qr;z6lncKR*?15}aD41o zS$ozfkI)mrPVidVhKt?DrE#xOE}|Sg#4dA}_3?;XX3^8U!TNWd@-%MLaz5S@FimJ< zE5j^i51lZ*`_qq0=WiWsM*XIo-+sJI;^sx?f-)9YDG|pwXDVr1VA{~R#)2>rUk(yQ z`StWGwz1r#Mb{AHFP6RRFM7>h!WAf1-IJEz$`5vmF5s#R8mR-_5omMnL|HE9Lx?b} zc|3bAIa*;qw18E%`v=`qxQc%2`^UeQ|B6&~WZ%K^^9Q6k@TVlAht+k;v9;UMnWC|R zXdOVrJ#h0Ig5aLsC)c>vh*y1251JcS>dZpPN+tYjy#)Mrm7oR}%m4Mwx8)82L{^YI zmk9FtVc{jKk+{>PKs_bs%2%CDR&!bYH&&k7i;#h7xEAjndidhDb`&PT`Yv4GAxG=9AzMR&-CyEtD6^7?-l%D zX*(9C1as1vVS`-~?fe>8!%qW-slAx`OgoTFhHgb&np_sUtPRuvy$qV}pK~vzNOtu$ zTv)K7XRSGw?3~#Btuw$teb6)m&@_@MlJO>()d!@1r;Pm=WcpWdZ{#rXZnlo zB0M(xY&*x$; zjw2!;X9H;$qNsZc4U*cot*hKaI#3tZhCAbF?6cga;&i4BsbCRfMTd}^lMl+|7FtLq*Z z?+p6F^SF}k!_IFB9CvFxEQYD0w5IJFIgvl8B@d`A=jq6t%M9be)Fhnyw+ybC9uDvP{I7fCI zlEY_8NGCL>*73<30;xS*S?XrgaY~{E`YNk&XpGW%It?BWBGF!QF8^!OR+fqddbu@$OGSd7?A5(b-72`vmK6aew;@feQ zcvYm73rD{sL+#DnprlC&G>y8#g`8y?Y#v>Z4AcFUpuujI1rkk0+`^S9yT+?HbyUsa zp&Yx1U=vFalN-?0*8*tXQ$1Ka9yGvh>)}WKV5e6XD4D4L`D8>(U#&fvMASWO08H55 z?UgZY0{=>&Z5Vt*lo;n?Zp13QyFS{`_kMNw9D2DO<<^b6 z0;Av0cYZEs+3?m8(;C%hKH?oTQy6P{b|_0`U@n z8`zb$AqWrH=xlAy8|-XwSw|1ui|8T3R&F5SXiTU=*(s0Hf&~b5hNb9U;2ptT@&Y38 zU96NJ!mOMzTz`MBJja{C09!O7F+q$hs3rd1Fy16i9XnOFp_3V(X1WJ)$#h)ihtF_$ zu5{$K9Pk1{m0sFN*Cta1z!)Lg$sj)Nf=fPLMrs9S-vQzJke8_{4b>?*l}ibEHAJn8 zX(e-~hU>b@XcBxPCik$d1?gd9puId=BGAPHVjf}>bY#!I^6J4$csNoSK!nLcz$2_8 z9@&02+oz`4=5+Pu)pC^$$mhPeh_O>!(E&63 z1M%?iQ$;7XUVt6Fi-ZS7Mp_UjPR+%sQd)KkfFqaC?M`~a?4sj_B}tz&hCx3JDkrwggVP=-6Hy=W%di| zO~de43m7k-+<&}`VJLj}+Fjo3F2_%t#?3Lb&7N5U)6TKtIma|lH#4rPt;56`ZY2{| zV07Q;5-?@~E6oO+Fe{W1NEVnPAdT-sZ`aRWS*1|R)n2dNy^a~+Yai9W9m&A~^&<z}x6D}=<Cr+OW4Qf`@r+X*Lx6eD+O52``bm0+_dI*bGz$);~2f5Ws!Y>Sq zhM{w~k|V{CJfm6$w!Fkw2mu1hZ_QZVU%L*^dDZk`Zv0ls!uPx{5s{QH( zzQd1_`mOf68`x`I!menjyq!cTFLPqlRFF}!Qrb`vQ4QnRP69#d>w3v4j(CAY?H0PE znZvYVn0$`!#QP?@>eq=Ly?c=J*CT{?pMVa~^UScX5xt8}|8#LY&j{@Fq#Z@}yE{|m zD{QvB5!;j^gKmHN#px=}~-}?(5Bf85CEV{Q0U_N35C3=+#|40~st81WGOpAyxU~U4x z&82kF4iX&jJd}WB)NYreA|xlB(?Ez`IAgRZ^S6$Es9RNkaPE6xN!=+al1K8TqpNpQ z%3qcK7~ED_F_qa&!>DZ6C01=+nVutn$TYY(vTc7k$mjO8JCyGV_F38tF&vK;i1eBI zx)@?0P{CNqW__8B^sVxq`?R%Y1oJS$C2SIn6KFIg7jQJ?ID-w|DU4=EaI4+VpxVel ziqz;}MeTKEW>rQTkfsxeTNZ(hj`g z3DB)@*}<&=EYN(w`1q$`ys#KO_trK66qYSVq!w z+nIvIB7voB-W6Y&9|N#})cYdYkqiQ)^BRSthbhYzwg;&5$u5Je85JdL? zYh(<`B31Ff0Z}Y5QW!6Bnk_vYblzPAZ0Kc_bn0f^wliJQ#$_v8C3?{nIa2pvJ>E8a zwA{n>$pW@ai>xWnqeoc*-Yv|r=2_1E$c9&aYCW2NQr7OZm2ZzJ>pBXM+0ksxRXbq=;4 z^;G6)r!mw+=%LjB7(Hw`vW_l%tiW`QM#PM@Y$9^aJlu>bmWS*kY`eb#(>hhw_tUO0 z0z0Wv%oetFv_q5~j0Sx$irwr$_%Gl75g+9!j6cqnLkAAWZs+RH*UIN#e^I_Tbdi9e zKZgC1V~&QGGcickC;-1 zds9E3C>@a(>7>_0gYq`_l|rRc5u_2RMd`iP_Kv<0W@UeoF*yyKgGuRbXr7J5I&z$4 zD*9pOV>z)GW`*JEoolzr1RK0#xSm#Ycm52G*=2P+$(*@%U?lhK0-;XEj11sPbinDN z%Nth1XIN~QN0QS^#wzZi``UWTe%x`7vMIWk<#2U_#(rFdxh+D>@o)>?^lN1&7cJsd zcg>Nq(r3j5GY7z$0;*XCqWReg_BoF8d7nPuVA{=KwrzA*d4>_~wcD5g5xBSDs%9M# zX_dM|B2fXUFN=;4Rmtf7-Q^&zl{%3EyQ$}fdNtK8X%qp1`NNcXl>?dtvr>8x>>ZhD z{wpJ+7J0|?VaLs+&XCfebsGU$B%MUy69BV8`Gmt!Xb|BS_nP!jGpzZOyF^h(E(@X` zkQ3LA^rqyQNXr42x05N68HPN17YSD}8tTcOJJK8KT^pQbMmSe^9C_MYnV~}|4avwR z(O+F=58XEybbf9u zai|A_KZxnp%RA-9hr6W{qtj=LFNtWwp1s3gmMu&VjiT$`K&4B^Bh<2>$fksl%})&b zt3HEs2NHE};yp}=_tHwu5!O!U^wuMd%(sjxO~^f`n|UE^0)wM-b|T%XNmMc0;WPm8 z(~$GMc%{UWEq<6f*b{hX{3%?g?`S|cL+Eu0ew-E8y-d4VU<78SMg$0X`RWyV zuzq~VvlVD!p`5_<;7++)u3^7+=E514-@DkXe5)*M(!V+ezDzreCM0m766)nqt0Mf? zm@8>Z8sw^exM$_OZr)5M@CLV|f@nVfGyqdipJ~;tH09fV%LpY=KO59PkkIZ4NrwWR z1$=Z?EZk_o368W`a|gqreS6DcOcb77e~4bH4@Zcs+F_Ur>R=?am=e8WF|dQ4^sib7 z&wxd{I|b!V(r63k{@VBKFPvlWTYzCLz$7&??nNIIRR(S4ZUEKy`X0;5An%J8d6HBj z%~;JKg?h=jLKmEC^f{0IZ$0kxJL;_xd5lz?v9 zYUSO^hj+vU??#^{`{tu@o6x%a-pka_rCrI0g=)lWHi9B?Y_wwt%0zL6#%=T>mSVH= z)2$!N#gku_KOXx6N$W3+P#?=3B(1ea>_+F_5Djzl>4P$XF`}cU`67%H*>-_2SR+Ug zCvb7{zFfx!X<`xc2dt^3X7~yoMfb9UzOC%UMX#3QuRp#a?%#v5%Jgs^>0}n=zhe6t z0yoIq)`)Sqb*z^S!*k`%drT-;3jPICh-HGr{>!;OC9TBR{quAKy<0CSMO*H%faX0d;NqAX~w{ z!7*^16(6P8Zir4kqMv&re=%KQicA6YXW-jl#76AI zSJ_2&6C55ofT8(PIgF(B+r1m*?)CdP3S_#3>A>yDdl{|O=?J6nwg}rgIEX5Bx?mH( zQ?$F!LzyxF>jB)pD3K>UY1RLU5AR9G(r8#v@Z??TP+VFFcn}wSKIOq^AT!YxZk{^M zv0^uatKlUq=Cw4BJrY4s4)5Dnj_%k~9^C$o2>^bt*u%P=#fNTeww95q5i^j;l$^mT zZJR+(7|35~S0)hmN8%Kh)@=mgz~Bpdh7s}6p2_V%o#<$dYw+sbtFm|ZQ0ilp?pIj1 z&mrjz4i86_p&KVVr~@qD8PsfU%c?b2FAJlhpG={P(~a`HQ(Wrw0p))mQ`rlLPL<`! zg>w7BlXB$Xv9fE&D67-#X+=$=6m0i2+Ephf>9-VM6NE!@FVw~J43tQG8SH5T`w$2Y zj)Q4l3RA7OoA+xQR7yzVP%2;b7kuIU0U%V;*&z_^649l}26=@Ntg3_ofHuDOKnLY` zGo8bR&OHGGVG=@+W_pR9>((xA*_#ZlMR*}d?j_clY0Wr|3ki9_HUo8BJ-TXT%81bt zjZfp!(a07MK#m><^qznhhL4EpKi&L^eRp4$f5Q8~f8PI*=sQ#8`n!8kV*de~sWS%; zvUd>Ww^K`vHId#7UNJg4T4qs-Ut@)*ooVA{B9g?;M#Q5-xHt2n%-&im`&c#8TKgHs zrsJ+WE@8+BVfN$itEX|8eRnWa7@Ycuop?F;x3Np*5c-WJr70R}{Kac@L3ne(Gr$fu zR6ibn9dR4Z6vl1mt&1T1GV<1KN1z3=Q`cCVhsry3a;kwLBs7|LZ69%NTY1#)N+@27 zGgqHl?d76^(;%l$o#+BPkRH068d5)(v8#CsdBV6{ViyMAS;IKeZAVXV-|~^w&tW`V z&S5|H5g+pHJM?|FipdGS!O(jg-f@Dd{CYW#OW->XZk2}*ZkIy`598pDh)7I-R_WLY zZW=lgO50VKIrx&kln!g1psg@$84guvF~n^m(ndUMP`9LY%AB5LCcqA?EsV4zHm8=< z2I+>nLvwZ@70}C^I6QWM>vpq+;7>2XVzZKar#(%($;B(dCwYc zYuGrAb6uHaDuMnM_t%7q--QXz5`(}Z2Caw2j;8Ix=tT&`5qweS)Dwm$`&y(d2j?XW zf9LqsG-sZDv*-rJE2^+cFJ1WkfP98HfRl^<-8zSlvXWcuj7xp(XVd-n7u zE0;_I@tDw#IIU7v1?ZZJ%k?SX8^QPwDFt}uSh1RABd*iPP8<mPsl zxm-H`McIvH_jK|N=zt-CFZN4;FYs82WJ*e(dPU7(ppHj;gHBNj zCdermZhn&&jR6`tn$PUqT!qYRPU_%ComLnb(_39Vn_+|YmH<`DbfG`l%{1`Lk+Wf9 z%S_2XvZZMa|7C;dwA2T#vgOAy=I6)Xv${Wsib2s0z>i5m zGiB9|>{Q8UH=0aHt6k{y-ZE9c{rpimha>+!%Ku;v5LM-p)mVD*n_pFYTt5A&ysqy{)vB615@9~;vz5h!JN2S9=1uS!i6f}$|WUMu1}5<;jFlY~;C%Jk4qXE|hNPZGXB zLlU{aHX%+G!~CeRc_aijM4A;Z-?NFyL|Svph7mlXr-T|@u5HgRJMOhrVO*PM3r{c9*cm7{UQm%PIvL@PG9~P1^Xm$V zWlBt0>SnaTn$uViU*oPOx?;HiF_CLl`m`%sfe`LJzgM=g8G0CBTGKF^TM+)=@BPZA zVwSPRDfiAC!v8pSVs~!cFIVuL^##EPwiS#{$EVTX(ea$lP-i!;F0;(uvB>f=9ch|) zdU`q#lP-(9MaB*-Zqm5o8mQChZBx!|`HEvqBFWcPq!5?Q&Y$mzxvV5Hy4NYE(@sBW zvE<|QQ7JfY@$OLna5>U_EYrj}?2qPHnVm-hF;v2M#wU;7Ge9o>5S@Q z-J00oo;Uz^&}wQ6W626DNvx5U;EQmWk65Mezz@|P7F@o=d#rk;W4rg3y{jYW@Scby z+S|Obc@jOWD6dl&rHds873gG>^bD;}p$2%3J<I}Y#`uCuDz2f$Z0Kf6 zcadEM14!pL@UXgLbWb_4b2rS1=?`@iDH$jzL&jtMZTDUj$T%oHq z*VT(UT3t8Kx~RkycY)6wvw~Tsg41|Botl{{7Y?2-8?&oOR!_?bww~?Tvc2>$vvFa^ zJ}D1yTIqg3CEB=a%IpL?eDc$bWDTaN{_61P0TyxwIhO%}8{Sua;BZn~q%teeUXQeM z8oqUhUvAd_PiUo(SpT|GZ4s>7(6^P^O?^sPDucIfAl$%!wN&pkxdw2|;90b8M(oR?L53^$Xie4YoC%s0Q zO(M#oOmskcv2Z%8a(Z5!KSf8$>GQ&)9HGe>0~5Z^3vBjawmAL zG-29oUUeW7HYHzm8q{0K)a>*gs~6Eo#Vm?6ba~@mOxI7)`?aZ+N5Q)UZMj{lUvHY& zC9T5bvwDQIVVf)2dH5}pk;&R&g*y^D4e z&Dz1;#UM2i-T_Mbt8h{SVWdrHx!OOZb7mM-heWNh!{SlesDXrIKd@FtE>~xzci%;r z7TC^i5925-v%oTi9@lhzm|yg=!{XdgLXfd)+r_}>;b7qAXw@B>G#J8e8rpxi_yKp> zA2EHIhWY7&nRdn1&HjFzXYSfjwqlD&(}m7z%v0KhK}jJ+%u{4OmhB;Z*0%JVyWHkh zjym|(blwCjWYHX@OjLmHBBzkmp`DrJ^`$KN$!-+<$f)ST{4dT#?t9ruJZ60OKKtm zGga(Cgx7aBeKylY8)KOf%+TP4xOU4d={`c-?&$4c>%&D#&8n&<8PPCKOOzLe9hj(zyEfne9uSbbok&QEZHZ@ukWsdbD+of`Wp9lVw-mn z|7XkNbLH=suatSz25aa6_wE|Sx7C)og>k>A5p`ITixjR4?>Sbrzrn5cR-Ccj`1xkp zxocn9#~#ZD_J_pL4Fhs&XMc2PcTvi9)HV7`Og!ihIj9$pnmChoxzDvK7~T5>D@+%w zT@?KzMy9k6GZXFKND|uKL3D79W;>Q?HyXiJ>R8i|gT}~8YYZ)A7Co#A`w2p^&9%{{ zEJ&=fif@C?v!dw&jWi`p!mpk=aB&@XS6SW-j@zcRRyYg-z3@m zY{vRtR@rT0EA8lGMiHldm71jhD+4rgeba>l1}#k>K%hvVmy?mdA{l~{VS%L4%|r3e zEeO+a_?I~3TM#o$>FS<1o&bkqq9dw|&g>FT(8>ymU-?vN5I|B~utE7Ma8(BSH^Y{j zg`p)Zb}>){&SgAnet18TX@Je?v``7f4&#)eerQncS%%kk5Gy0{P$xsHkd9MOJ}Ob| z>;V8kWd?>yvXSPs;zs|V*MzZ$Q(!*`0UkRJr>>$0&4_3HJ@c9rX?2-n0orT zcl>g8AsDP8P_SYlAQeD5kN&F9!Dai;u?`{Bzs zuQLX=;UQs+m1wN_pFhCTyH5Z1vVU~b68l@9KPxBCTqvEmbzWic+N@a%M#Qu1EnKGl zuE;IH$mTF$UPU6gK$x#V%ph)EzEMVYVH$Dx7*jjyNqwaIHu4uPO;sZ4!es3Y9U7yO zChbnWqx<8A@8~)x{ZagY1E+CeSg9`O;JKwo5*M0p*i!cYY!BjE16CAPv}YH;f{q6LiTOZ;_fekMv{TFV7^w~!*97{Mq}>5t{^Ii+uR`p zt!9@MJ*j}E3r;({4H%w%`+kqm(W&3Nznf*cwZ^S+`EVC3p!2#zJ}tS;lJpWX@KT z+F7gMT(GU1zcQ4%Gr$%%4`4(xa&cf4M!beZt5d(M#Mvg~rB?(O0bJo#B5{)0jYI~i z2Pf(U9fWj{C~|`53PkWJK+&N>j9@(SS?oEC{-w#twQ$l3F z2fwhdIhfcqGL}lGF3xx}v<9ZdzsyQn%EsqBc80=7VSqd{$U-nO5DKPTbh-`@*u<^H zc13!D9&96sN*77Yk4;+fhP_KCDiIa!ZoVENV996T;4RzWt~~e!zQ%&k+$`}GM$3s^ zm|Xy$T~auuDL<9D(!O@`JmMy0{^Ma?y(142o~px=VED@;6QrkdDdCZsxKW8}6_iMl zT0)25K@W(7(?h6p=q%0FqFgg7^`Ck`Mma)US-Nv%>`*0{r1QJ>EGmd&{T z{p}Cs3VH$=a62w%4-=ULtyiAwKA37y9-T^QiUw4|i~h`-TD{a&#N?l}j!u<p^*f*Uw#t_kt&;jF<}O>@VgY7Sqa@>bHnMBR{fEJ;Kdwc#zL6h^@)6OhO&i zSJ4?*lJL9Gjrwww?|aMVJyf?L%tI${qya z7A_2cAuMjXPR16L#4$dMqLW20EN?y}EEkuj% zJP4BqFZM?W34DP=)7iQF$%j6{8`ayYLIB&m!1pGk({)TGhK9D6LAHyzEsL$C3wf~@&r$*ok&{DxXaGb zgJthd`nfk^{7>?^k=18gP2KL$+Aiqoj~oib*}GdNoJL4R4GS9 za3^m@$}$TuLR|n(9Zqd1qxU9Ku_G-bi$tC21SBxC%1(Z|Bq2RPiXJWzl_5GPIMF)R zC|Ao}^OuXLE6eEONKeh87b8Ok_jEe*?Ifa#R={X0U}PX&lXN&@Br-b9+D^EL`U;ig z%-=Vo2#xp!gX-Y92C6Q_wuw;fynulO%?@OBsh|vsAM2|4ER(T=l1QX{!ifol-PIKv zA}gkVBNc%~vb8)uyz3NDYBV3y>05jb5&F#OlLUy}T&`aG73YO`l4Jwz(cNR^-p#w1 z0K6)Pj*Ssb5D85b!K`E?I-3K;3MX~4ohUvqcrM)PJ%mEAy(iciU7PTSS#Z|@Dlhv! z=SrNiH?mEAqc`GCU3nu76m9;zs4hv! z#J9NfkfGX+VOZgK4b%q<*dzVIZh`YCd3WK^?rl2V2qElm5v0^z5i>Y`Tq01z#Pqwe zyMJ3&!KUy|`YS&B*S0!fHp)4CsP(e*VdD9C*}jFK5YRv`OiR7slFv)Si-Fy(~w*;EC+6*hNFs(G`FxY8@wA(wGRd6wQINN z%=?PQwCb#E6X`qCCGz$N4*0TN>d{1s7kRSSY8lqp(kn3%Gt#^NmXh^yzRC1taVO3DAW7( zK(G-Z=~yIc*1x7tqWWSTt)WKJY7y^Nk&Fb%#m-p?($vy*8xoO4NN`R!m>HLiUSa^U zOMhG6=5l;sUl||@%sf-acZQs2>xY|}wdBr<2QS-iQZ8k>OszDg*k2_En3f5`Ah-{) zRX;(f;~8OH?TUD;WF~SD$&3gRzvfO+xL(n&N@Ob*rPI*~LHL?tw(nD!s=op+aswFy z_kuht$8|b6gl(smz%v?B^Dr%1L%esYO!5^Be_xs(X2?XfArC<+X?NoqujW1 z8LQ^k<@4hg31_vx+`mHzs_BnqKO2w}{)~>I9$^`{R$ve*2e1K(%mlpRUob1Yl*8=| z96ZbDowU3Getj=eqvv_a5t)viyz0m;;hU?sY6l^q9fc1lr#)yV1}GOgoZ3)WrgRM1 zk!FmlgD9OTp>3g$b<0*+F1{rp@fcE~5Ur|va7?#poz09`jynZ%S>I{?Jig6-(zfm( zE=~Fm;3V!{>DkuD;>19CfX9s0PL}ZTQ?(B@z=g51``+cMw?HBSfT zI|FE?eHl7`pxI`zee82-9?SPnBDH)InF}!4aagZr_=ZKnoLn7rv$g?M=QU@*q+$|= zzKBHkhoeY)s4jlSw1(Z0#0gj~{TQ(R38U*Lgmk-c_YP_l)F#pGku^+5U+sDbi4ie^ zfu+5;*I!V3Y za4sVmk_pI2eHF)HG`?h_WLO!52#P;w3YQ@S!9It9sRvMO)GF6`vx-)kiUA;2SS8wk z5qwylDF1%%Kg;!w&Dp|mcAgy)S|t$<9KK{t-rMiJw;v84tQD?|k^7C$L}QwY8}QoB(q`jK8I=lwmsa_Tiy&_vvp) zw|GUx6kW+h0scur-kW2QoKkSj7-GQEC+h*cAqEOz3eGikKOqYi@ZvhTzgQ*Z@)SSf! zAKF5lSs#uZyXatTf4%=h`LCaCAu4r$d2s)?vg_F4(ly5PK=x zX_dS;FhJJzZ<`Au^b#@ULXKyym}y^RgQ$%a-!cFh72$t*UTv=CR%ftv(!n2LxlFmd z&a(eLf=%pUhCypZM`+7~Z~Gi|_s?RgEuM5jkrmyc6Y1~wo8UbSQh(~(`rgu=jiwAZ z2+;nD4b@>*!1JCdwFB2BRyKv{`n9VBA7MW!?wfmnNdwX)bW#tVKPzKL38ss0uT{#d z@-L7|H-VuR49^aTdT4ONw=<^%zMvA;N&2$QmG3jaH=)m4_PKw&ZqXO_r1e^k^xR5B zUS=x7Tilye5RghzB!?8ri)NgcKr-}3@?4uy1HuZUAyF@iMD21^#3~lgD;l#5syJ$> zcb7nyQ4&91cwL^e&E@U=iSq5~KM|#7xJ=vt`HDp+r`?&mPYcr%vL> zX(Y63c=w0n_gUdX0$0%tZ8!yj9KaRQv7L%G%M=NK)vJnK>Ci#It{MMTNb-8&>_j)) z&K4WZb%t1Q>K)n4pvWL7dijgal=mGBlN0wd;7IV~FMW838_Nm(c|WQ7mcesBbVo0d zUfr3Y&EEnll4~Mv^FhdHzRUwN;cXLmB+q<{AOIk3-Xnnqg_(B2u)2}3 zaOFkgqNHQWXJ~XSpCxA1cuPoO4V06ZdN4E-9b{&_{N?%&EFWKDO9vh+`HMq3J32&| zT1o_boZkrpvG|JPx@(O#t)S)@rY(bPa999QP z#tw$dC6EvO663C)Jg}!hd>_CdbXrLdZq@G6vG|~VB2ALW77-gM(nLjy_>rJoor+5o zu5BFkQ!*`y%QWIDOr1`sRkD&}n4Q#P2OteHPd;9#tkw^_UMDvVZo*>vbw=>Hi8VGE z?wevc!RHyEf7$D2ETqHBClh!WH5#{ zrYm3-+%gctJlmKauCmE^)n#pIidT(#(idBr01t}$n(fhhcEkg8Pt&*EO$C{mY*q`euBBfqksGwWO))q+yfg`=mT zDXiUZJz_!QSQ%kOwZRH&3JSM|N5Ir1CcB0xkF>>a)!B-Z3Yy>rH>LqHC3Ra>Cf^Jt`C1 z4d2bHQMUEqX2VWv2VH{^tkO`cFfB)cWh{~!xEH>M%iPzO|AXm}D;4fVqT)2F3_@|v zrDhd(dT#ve(DAZ;+gA2leT<{L2FuD?S8KrMsHcv@?Kdj^MUnY62n+TRd#^Wa57|Dn zHCqN&Fe7-1yOgUBZVX<<;nfq@h)-n#ED2KPIYwqOFtrSJDBBJKA`c*0gUQhJOVIFc z8;I7LMz}zuR?8Zx@5(!_@(MCKF93#tIIWY}&6V8)qh;y+BHLl6QPK~V?{NgVHqpzQ=LCq|_BCOpAoAIwPMIL9@K?i$9+gY&r;v|uv5S{Km zc)*T}LuC+!yAi)T5gVa&RNR` z*$`cfE@3cH;`3aygSfmPWRWt2JI0ro_Nf!evOLqfevAz_K<2cHKqMt~UQtdvUI5Dq z%%hFY+s)iz_P1#a`YsUpb{J6~w-`uF3gAi<4W=Qwbp?y5i-Z!%fIQs&_ZPf2OzxP# z5g!qRk?gMFk7*p&Giyi$?R1DY3vc3od-u>7-UpagF#j^bPNvLM7C{AkfhRh#gwjw~ z1L7lL!U!B~A|ZeupJ$(9ok#FYf~6t3Z)1vAJ)44(G_;*n-e5Dz^mH>Lq3TCIUQ5w^ z=nQad50QZ|M*Uy6{#w5H>|%98f=uozo%x3!`99@D!ZSw-%RWKs5T*$;lQ`7VDS2h2 zBaLmR8xtnmA%1;#E2-!iwG;o`>SktUP+FZ76A1Oaw{|=+erLuLD zx(IWak}3!YSim@1OkEn2`HB;n(yASvj<2bNmg*XPYCmUuK`q*27C;@=jmAS~H0upi z5!!2YIQInKDghSIuderDpGCy(NDAudD9q_i7G@YBmS^eE46f>?gh$W-r%LAz{l>A%p_}Km` z-KnoyK+1Lc=Su1fQq}^~!D(U#>M`OXfo69SHR}5H8_+%bZCU7A#oNQz1a9qO%KF>Q z-^$*xBV}}(zRf@!?cwyd>dYuRG%TEADl7|lfJmeOHYxKb!b43X{&6a<`P#-&}$6JM6Lav#W=NX|$? z_Et=B*h<(zyAWS8QgRF0XIl-whl%fBaV@D5iS{ca=9U-jqEs zp9{FgowzntPVYNj_Tvw1k;Q?5-aageP_C32!*f@ZDZ62IREh)$H z0|a>To)Vp#ujhernobZ;4DMG%%9_ES+w?pk#Att`LVXyYEHBY_b`JMu+t+ylM`)yc z@3(tpOlE~|uQuoffr%pR+X1G+1t7Y-2_AsZ=8<`2(6a+@gkLmq!aVueVzj9PneB~xaS-vf4LSxc%=(N0N86r`D z{9QtExq>oWg}c`8x@&a_X&D{Vj&5u{nBr{T*aG!nz=r;-jg_3&bQ+D=5|dA5Zr@6I zkK%a}9n~74*eBR!{==Ogi6!_oOlwD(ouTt~Z7y3_{+>p6wc3WKOj=)sy2h)9aCf_b z`^wgl8sf8>(T6~4l(B?iFQZd7%&eBF)rs=M-S5j+N590y$NsYMVTMLWS46n*YAXc8 zVsz|6-xIPnNfhrYP zdLV)U!vK(YW9gjoAzBD{8TcFMJvQ(V%7l+;#$x&VgCEPc2mh(;+q=8mx_zzeXJO#d zkqc}|87wa!Kf@g35G$Ow*(m<8oH}y8{P^pyrG2=YmCYU401Y8Ro{V|LUh>|C5i^mq)#JJ-Lc35rJea!M#?&_l`EeQM86xw7 zqoosVb$;tZBHpv^dV>H*UIUlY`4wmziuo6)|Ouj3h?LzTRaFjbg zUq>BsAV#dJDH1Qi+5kuB%M$>#KTAK+tx=9gg-i+Y4VM*O(NvSkN~a5#3m935K_+7>3Z8LD89oud@# zWS%MoM~8Qp8`#mjnR^qL#qXykh@f)?ubux&098a-Mz#ecn^#1neM9&q?NIbM=OTb` z+TB+%AX#UXX_@UW3vAL|hG{iuR1HS87GGelzqV-wFAkICKOcTyE*(U{jij|PgYRpY zE?|byk04g>#+Q?UVD%93imB`yEc;i<+a-OGtVOU5kWn$j~Kzd95xCkFb$_owuOd&)DU8M)zL=kEtcqGZHLQ?53leIjvkB7vYA!IzFk|Q{FSQo+eAe}UFs||^#+FM zFM!7*9T_Rt?H?O3VmBzu5H!PBW93SNUnNU~74QoU0c)7B({1PpmX4IkGw|;|J0(ur zzyJ;-JuncSw=3a5zt=z9FFzCch~cele>YJ6?c%?cBco&G)+++O@{VxTJ_62dBjK}6 z1F5T{?C!v5Rc5|`l3O~PWmEeej9b6Np6=t*@$%~Z$8!42#SD6k84L`_%n}BSqSlym zPx#cIn!7~=FO=5mlblpIfF+WS?dIS}UU4H7oB%p_bN{PujF(}!v)F_!L#vyJO1%ks zIez3=>BgOP_WPm&ph?sd@dkg4!$Kfmrb7t@aKIZ#BV!57ep!bmCVbBUs0uQ^LINK9 zBcBV6vtpL6Ds*8Ja9lC|>|&X!Tt| zS4S>nG8&EMRuD_w{<4Ny!v+XiM{lvgryY4yXLd8IQ}{t*r0p22?pDd`#q+25s`{VI zuj$M}rr3<){>*0=%4z&eFWg;ZH4u-MF=RtC&9tl+;_bjx!EoRW`~%G)Wlb}+(71KO zEjl8XuTDnf28#Q6=I4%wI{$9~pN*U)THtS>h+Ky8>45H+XTO%lSflL3P0Yq5^?@m9 z1Gbs1J^lF2vh%x&wcZOOpz`V@oYF0zkDJ8Z?FL&MM3eSIE=q{IG#2pYPn?P}62(?3 z=X{A{DI!PhBn}GsBtzhokZf4ni$-ALgSkl7uV6G7T%tgpLZ38?RC@F%CJ{It9A+7R z`3W8{x+-FS~cFm2u1sq$N9qiz{G+a)AaoI@sIiK1-GB)-8RH zGL%#t5UDNqVJ9$LSB4GAjui;^$pal*2W{f?4~r1mnlcyxm8;Ch5cld+Bv|n{fVYjq zI|&EhF^s{dQMOsdCVc!H?e5~m$EoQugWgApQ(c*5dxTloXxuPAJFmT0X>|!&b_zC+ z+ivOS!9*&gyB%dz0_ z_~0Qfez-3!B_p1hA|LKY=BJDr13-pV&)prt22AR?Q3lzXv z&RJjs5`Lu@^|p0;9wi4X`GB;{pLCRSA+2o|_{=2F`6dsqJR&jQG;c+k1qXYqwJDqTT z--gS}PWDH2v1LfbsMhjxZ8PQPXTM?gCjp_j3B-egpFleR0hVq;N9PPFYQ5k1F_3~^NEWr@|nBe>$8hJZ>)Yc&y_n(!I)x=1;62pNkbyZRdIYwSSVqZ=mT zv?JB$embpquoLmC?lUqH&%zLB9%*J>w*llQCZ(%xq=OZtzWrMdma(1t%LFc(JBYUA zAgAs#(-5|pMB1=0IGMU0B{4@5aJLHcvW+`2b8!H2 zFt96=X>D`ci1zS^o`+v`WDBg$&EXze8^DRT?^w~@kxkBTU%oAOUpy&C&z&yYw(USK zW)~(evP=huG|0NyuV?}2geUxYFGH(ML?Zq<@t&#~_wVK4o`Xa5Z0emjY(qJX`Bz?H zZnba2>C+cAw{W0VR2T0C5w^W;lo(Fi2EdX$cvsrU5g54UGPzoYA0LvP=Q2q@u~}>0 z_hHiWommC<&C@hackNGJ%S%$n{1x zB~nq9p1v=G^Vj#)ndnX>BPNoDqq!Y+9l{p5PAl|{{kKOy5(fPt9jPM$A2x6AD+5>` zs{v@>)#Fgh)@nRP^(pgRB`BQ9e76mb6d@W;}Hn_TU; zdSG^cB8=F;@PX1pdKIQG-@hpT&z1jR?0-{P&yRm47?-r{M1O)92_b_7e+mu#AJdb{IT4hB=s{gdOBRxHyYCG4tqvreHq zr${_gk13rj>t_i@Bx6>;Il~I^9`^rziEix0gXculo-QXYoGee@JuB}qVR4Y!N`Tj1 z7@K;`Fcx64b5t8SItA0zHl)HeC2nvh64s!(27{c77wT1Io<{dp-&WB@_I@_s!(`hTOw9#NMDkLt>FFwjDW#@c zOAk;<$}*XySP&lv3r{7B;El=Ke2kI%xlY@2UEan%yD6f@Si6ncyI}%)kvG3YP zQZDC_9(m6}s6NY2K(c&0Qhq_5&wU_$qz+yuk6NQoNxV-QUj(eYBdj^iJ9$*E{jUEh zN9d$Eg_FGKH~HjK0WgU`*dU_9Qmn9m^5HU0{y>NK*J+3xFsn{RDwU!gN;5F76?6~& ztwD4uyA98!S%XWl`U^rm&t5PX1uHzW*ypSfe6kmb=Sz0BAML~0o^~~icyDkyyS-y; z`2#!X|IAMMU+&y08@t=e@Br?Jab@xF_0uviI?OauhN+|!NGTJ<+tcU>j+#3D@4%>4 zzgFvAtS}C+`UY%T123!)P98njDpLY60R1w0GA#ko6NF#-_4#k1gza5h%Op`^{{9Tz zT07G$cJ5DYAQRrdT)xCL%;>J2Wrh8KbJi1uv7EqBM`TrE0BJdz4c5G}1%$eek`&c! zP>E6i{IADzBbDDWim@+78ot&(z21ti%`Q!l|t*eA0rg?tc{bJQ21A5@kcHN5!+v zFg?LGB|IjaXVdQ6hp)@Kw-e>;`Lkslspi__8-&MNFWZ^MpFeUAea%#P`ub7e5Ua3T z%7b31RLmvRp(6DyqrTAnNgGmk!+nb~Ihm~xhL(MUsSxK?MIs&X6nI@Yahs0*&!%Pw zdA4nLIlK2{d2#=FdHG?ooW5|b^wUOgq%eE;*x9Ed6mX*YQO55djS6hs;9r_epW|Mb z2x*l}q=!04RHWMvLqX7lcO)_MZXKRxAFlKV4QUdh{!Ds~ZkGh^H1KV8ovrqF5Hv$+ zA?1-_O5|O0*Hp&q+~Zd@LbYD!9yev2dDcweJHnMqF1+?DzkWMtc#Bit|2^kc8lR#5 zsR-bL3N^DcHSddHiPwaVc4lOQd5^T-w|E(tRA(Xn@Tmk#~@5`TW{%858Q-6pp)YGT;%dXw~*`BhM zsX3kv&`ot9jcOyL!EQPb%*6?jKw54(l;<`)N2Ql^C7vrI*w3UP-Xaer3Efp&Sz(8Q z!q`4dbIB`8a-{19;)bhP)!Cj*L~{iOFZ6A5YYZUR7`RnthQO?c_Z%sov!VIPgNNk< zL2oa9ey+TD^|X9{`fLHg!%0vxH;qD6$XAkL2c4AI4n9#AMrzr-n9fLc|slA_-M|Yl-w+sg7 z2rRLMfo;WT;L;kTZJFW@P$&zkurC2wre`UoKFX^l*SW%30>zlW&qnT3z~<%Q@YRjv!4i>d1kN?NV4=Wo&SEM)6gafsa5VN zou_Or^W675Z@w%2cQ02B2j0&1fEWs;%nx_VrS<0m#m&q4l0IRTi_W&-l{|{9+JO&d2h@>59 zYL3;qsReWbSW9=IBsGlpJPQ1q@1K^%JFDf7=RYt1{PiEpGe)%rQ#|!jTM4tYL8nzA zDN^h(&Q`o|1XXvT9!R3`ft}uGBDjZv~_B!JL7&B*b-7+JJ$PCxa)ZR_DR`x=rcMl(_p5S>hM&~))hd`=|CY?$`d0(R{Ti|7UF0{`K59 z<$qoKYx&EyzY!VxYnav+BL6(bdE6Kgu7|N;XXgOEni^`X$s-I=ngBnxtjp!A4g$bt z=Z=1=Fj{GkI|-5oq)~hmG7S zTey~yQs-ISIy`!)oIP}|JpAn;;kV|>d8C(zPal;l1ki0C>@LGwhS>>lwoJc!QGUIB z11})x9AQ>5M}U678pXlvg&izPq26^7z-O6S(YDd4@YMVE`1tb&{;DUccnA)JRZ^lY z7nr6V+;;}c{*5yJ5$_CVPoWz_HGx?}BRf6}^Nu8=5??(M_=+y9qNOr1vhxrc@lv4@ zYq=Tp3sQY7Y&^3)Y%@PRayAtEI}uNvh2O0+4g&L-Y`Dt(#qrO1wX58H`k3uXd+>4P zw2y!AZa=qdbF=;J>mJby+J-Fvyyr_fNT2rgalI>pskelRd(EW3zu~?5Uf$(FE5Fo4 z+7m}9!?v;QXe+ZbXGP!&k7_MabC|iS8ev+z5OW89tJI1w;R+Ln7h_#L)>eN+1HPa^WbXbJSVGkaLa1=x;gHZ{T5l&XDU6mHwdS#e|SsmSTxSZT`v^>DbafJ=w=gyxm_nzD-*WdhB zI=2y8y&t_1{_iJVeZZyhFQsqi7J}=#YWorVD-i*nKp;JdIbn6cUnG(l39{Dx4sIq9k#&k_9-0&}uu|VFGEkn3%rdA+>1Q{{ z=jb;FS-AQYXOKhKof%-IKDB=4jwOG;0t>lM|U=I8$GmxAf`5mewpA7un3M8hCr}+30K9n zdDjmB<-Tco699S5I&*075LE{wQ0JtAtmTodn9qfo=5D1s*!$Za# zc5m%$Dqh%_;(1pYV8m1x*w)jAcg_#xhwDVc#{TCIpMQ-3=QX@%{tN@dIQGzJdBBEb zeSqy^1=wCoc)O)S83K3SEu6<9fyoe6=l8gLJ)d7$jF@E}%!8VQPMYY1R=I3m)FQLuc+Rr%svFwEAvRtEI^2^+VP|@22}pM z5e5(fwpgja=e9YI=|5a@*lBD7FNDu~r1TVcAwjQ#LnUwhyBWoKk$#jomgv-6&CX5> z(l=m6!-Lp4^8SNIPw@RUTn_C$2$u1&VL9YS#_6ne2E}zP4E)qGt#?YLtvzcEuAJJ$ zfy-){Sr%e=Kd)Fn^7hZiwSLyw!|&u)Pjm10yGc=tO?gPYB8+-dQY9ubl8|Z-=bU0F zxHVm|PNubTyh>RD-z=IR^9U1b5D#Q4*Z9Jcpl*2dDj?nD~BUFA8eryDn}mh-1BluKWHRjypUN}ySo*on`}-hI3A zCG`mX7fg%oDQg(@8rDfUN1!A$M{B25L6v~=ppst`{+cv(kR(Do)IE6Pt~8PTbTE09 z+&c49e-(P8zSdbiq%6a!e`Smq-U8DJHoPAhJy;&yyiKQTl;e10y?gI&x&HDFULX3) z_~JX<6X6h&Jg+`{REGAkad&`V9}6rfkgwFHDj)|;MVtzMBv!bXZQTJ}ix_no;ia|2 zPHh99H53QJCQ53@BM172=MMOhD7m(7UDgq95&{JeQ z&NBrIEt8Dmq>q#<4E%{(X@%RgF=dd(uyAP~=w1mfUON;F%#4H6tm?{uH7&6pkM7!w zKl(v%0TV^S?EnX1GG-4Pwa)a{T+8qkG$@~e%;$A_Z{~bB0;BMfA3q$H&E0yzISKR6 zmp7@L`S`e*MR4iGr&n^)yrRO^+0Fh;J2YZiRLtmOS|L* zyy+x zxJ_}Etwh+I%93p)F5%N}S9P1CF{+G8AcWO+q8(d*HiMYqoiMr7T?SsaMro4cc<8EU z7xmNT%mA#mBic&_f5B56N!<17d9vx8gm+DR66gu7oAc$!;?Jk8%Z!XS|(} z&iz$!aK>b+-PJfF%wp}(iTWTT0HZ(~BDqtW2L6c}?)&1S&uz;$j1aw!dsd(xR<(%^ z>H!>7$yzy@)TQW}He6lg@S+S(aoD|Ord9&b`Jkhu&`O|oAd%E!WD>fcnvcJh1;IIT z`w^_nIAliXuOtMAIMgu>$2(M%i$j~L!M1r%!`Fqp*eL~0aA{c{!tGskVUg^(NNs3W$=6V8i%kEP*kO{rl+avsa??xYAU1=i51lBl2@Y}h z=|kQJSB{rN{c(GlfnRc@pUQ|@rBPn3G-&;yCR6#M*t8H)#!db+q6l;|O`T8M81=agl_gey zl8Hsx=KCVnPM1;3Y|Vhy;KO9sU(VZZzD2b4_9a>i> zN*Ctu&T+k9WV$Dkcwb!Dxx&GLNsccy1rE;RhntECw?_z(+BzC=Ro~?|mA8s~9KFRz z9a+3bqsY`LGriP&IS(9?vdR+Ra>P^-D>*2*nX4FfrD#nF&KqewbN7#5Z-<-8GGQEC zyQRpzi7Kb5cwp)h5A3%jz;5C!>Znj@Gt7b)NBXyy9XR@1T6j*xlG+vq^VDzAQlw%f zYVk+83aglZBO_J9fU6v3f*`OW3z2!QhBd-W?_yT>idcV zj_f>l@q!VE$2Ou}m@>J)0@9UUc$+)W7uVf71_PcBObqt#*;f}AWO{URWh=!ENzG=| z%wvJh3v^9*>h!b1L;~`YMmDj1X^y>rO8hc6b)fncb4o>SNtaG%`vx{?l@Avguz1Jo z%uGO-Z5(No6=i+f+m3pAAamdV#AXdUvB&D&gk?Sc)|Td(G2kLeNybvvT%bw&gDVT- z9sk6PH0ybVrQUT>Nr!#&*p1Dz(yn_MT{Nk$n#Klf@6cX6zMd(wF#PYX{(uM3J@HZ@ zFYTmV6f}?zXoHIDR^2G=_j=M!@&OO=mJRzNn_E>ME!_q<0p!D16L$0Y{qWob{`#{2 zwI1TzwzJL^6dooiU;ei8=XP8q=WSnaG6U_8oDbJSVk9M>dIaRLD&$x%qt=`olYH=qn3GR z`wvR(Kx#f5QHlz%$fmXJT;MzB!2tKLxR1xJ*r-XXS3r0)Qq>Xn=- zxrT*k$kc5X_rSoL3i<*_*5p^dr*}iFt=IY7fcLyGFP9hoiR(#lh_&6Qfa;d(9#RuKNv_muEL`rcmaFx$`*r9ci z9c542m;012y-BCGfl7HD1Ufx(P*e%Oiasl93~`j6M3rn7+9XxxQvCTzhP(&cAqg0U zSxzmpKM|Y34a3nx6K%LsT14_&#uVek{$oS{-&7vIWMlXiwgzFWx`1D*2Fyyhq#J3= zBW)DiR{Wa3?PaeLKFgTb(SVE!(+~aQ%QWX$RUO9G>MUMF=kQ7P>-8&Tf{4sgMu8dpGSq?xXrHP`f2ki|!s2-bX42ID?Y-t-5|W^KnBJUf+xzX&%CDJW%{@GXL`D!@!kk05Z($IWJ_+JCvFup zXU|sYs;X)?#_3x zB{zk>%x2kl$w^U8O-}m&opd>y@-Y2RQ9C=J5V@>s0c_io3OlQBUfBjtdC!@{mV@Kv zC)T}q*B=oiE6Xq0B(j@c&Mc8j!a}NA5T9Jq(tjC#e+i?JrY7Rjv(j0`N?a0i*zjfi zJehy$(tw@fr~|#Q7S2kL4+9C&c%8J~ujPG};mrp8TxTT;?*I+V)C;ioFF(GFjs1jH zVj!r``1}bEpjd=AwGrh-Smg>OgoJWdISe5$EbIrlX6SChRyc5zRtg4#$G z&rzFc5+_WYhsivb=!*+wqUGz}<&nf*E9)Y6enbe$E5t?Mr@8@i4Mj!8JGttjSI`Y1 zJ_!%q#L&pByKU9HV;xsDo#;FpF-NI|Ft4!8S>MzM;lm6DH9|%-w=DOK^1fNfGKzjG z;H|Nb<+3DRI%ceh<#@-fbsWiSUfxP|n8{ z!{yoKbaYsUi=;b*8@t0Q=cxln;t-DYT2Xz>V2_x4G3^5}&T*F|3B>Z5L>R(os4e4n zE+7KBVcYl#i+Ia$ZF-57(K&pLo!omgqeb1mdzbL?I34J~7*>xZwu>y&ON1RmJ1i@E z+G04VFdyE_&-at!GN1cu_Br=>UYt5UfRmF0Fiv7m-LpyG^Yz7Yw)BM~(d>n8`F37s zB`Y^9BHyQRMs<-6U#J>+?yYtTg3uVDcrhPfB*6jvCEm#8Ll|$Tg&LvYanSZ$AduYxY21s zxAJP@b@X*0n)PkM3VJHu&3=qpLd)moZwoXlGXD-I#o{t|XkAilqIN6PK^SQG`VMz3 z$A$;u=(Zzqb^OnqwH1*sk3VE<(rRoZ*u%HknRxx-eKew@vM-7trg9#Y>>UVX2uD)o zo;lmdr&v`&gwa4lE{*bX#fe@>S&2{ZS6&*u*CM1pKf z0V^w25PVNS>g8q?5LQm+wm} zgopR?JSmj#7R|6JW|ers38$H^LMXk?d;arN{w-?ZjpEG7HI)a>3?f&-DN%5~P4*Vd zMcQiln(t)?nn_WK;$7aS;<;qcebLzYG6@ruMrKIh$Wf3PCp^b-8n_9tXrvsKZ%MpN zPi;gl2aJP`Q;=MNhyewmP*BjaTI~pXi&9(@U;V1uOzn70PEth8KjWXM1=YW*=R1-TAor z{BA7aYNrH|zBw@-wd?|LMQosd2g}w306}Eb_qqP4lthI^+Q--mE-X`mCfSx4+XrH= z0XsAg@jCY{!YNA3bMrWND~kuYoNxbGci?OO=4Bfky!L~+=krSbq7+X_%|j~$=ftMq zUHyC5D%2bI?mviD9O#KNE$&5Sb!wGWOKUfWs5Zq`q7n-Z3-cui^u{lV(0<>gfmuf@l><=%YFn+c755T2ItEl9$bIEzX^5x&U4fg) zGjaYFZFWL2CvjXdkXxMK)P(IU_Jaq9_r=!!!Fa?B|Hdd!p%CP!dB8HxI~T7)amk)I*EtecFMgC%FxY?lOxG90-)l-#A! z9%-Gm1gQ|00Fz59q9v{Z+nfpp)8`OCbUtk4p?-)Ya946hHP(@V-HFfmnZ;=o-1z3i zN#Nysf5u<-oQpl%M`B`JcR7!~OhsT(L1o`cXw!=ThCq#f%#V0yrQAdJkQP+UC4&~J9fuqSq6qe7llyn8>s(65Y z2ZE6}!2O&8B$&M926dl%=$<)=G36GDR-gqO-Nfv~kXu3Mi?lB?AK>A{p@=Ra6c^^z zv*39l9o(@yV2OYgGL`c)^LSnujDrM4efjtmoB@h;0*%goo{OF6dp^D%cR@5)v)+CB z5bq~HMJ=MP%IcvFy@*pSa9Z>OFl}H^HnDTU)kt?wIGF7}IsAfX7IXt9 z^xWC zg@e*y4`TN^6WaA<1F z#cM@I5{g1GZ6=xC|Jj-3mlZ5rgCH8ELK%(&;Fmb8$Sg=zy|5CydPZWTqc7U%d=aA! zgnInt6`LN>JeVq9)h~?>}y=%A$ytdGO;L_A zlh{H)x|+J97j9zm+t>Iy{~3=F_t93A;vg$PjcgE~Bz)5<<_}t^%N>@mF@h0=b78(m z_m#!)Yz0G1>*!Z5wLp2`4sj|(BkCdSIeeb{0xMm*mtIlyNCh&_*bk>ygPj<3uAoew zgg~!QjsZP{V-hYW5;#tEjBc-yh|dt1bOzC6aoxC)SY}Ty#vt26jv+3+fAJ=!r)SaY z>_buf6;Y-&KC;L0FdO_YZ*lRv@up>eVA9aPvbthghAjMBXW5UF2%Hje@B@Df% zxC%lf&def~eOa1_{ag0M-o9P&_|e07ja%aWZHTOJerv!Iwkj5I6|NbFwr9HUPQ8*d zB6$~snLM)&W!&IWE=+ew_EA2wn>+qb|u*>M8hIL-ev z_MoAJbc@W)HisTZz0wL+(@k{D{>?kmGiW_d)qJ;&0o_VmyBXZ+)}Rd4{v|PjJe@}r zNfbqrdvf)QCH(Zh;2?rbYjZM&AMUN2HpbQs15x@o5z`=xMw093mFhVcftbVW8uFAw zvJ6P0vrPhx`sdd+^E^As!Rxh%Au+Wca%P;Ji%&v57Ktql|3f_uapB^WNdUD&bv>*F~^0^M3j=tMfyh|_bCF`6VB@IhoWVAz$N826A(mF&) zL)J8^wM^P=3W>ssL2w!T>aAdtlOXA0xr%oDyzeZ2_&eh|zS_T`uc;@fLwePKP?39< zX#^y>6R-p~JH##oHcP47K^%e$>BKG2;gQ2J`RZd#y!#S|4($Ie-ht&t#!)2uT!^sd zj<>8m_rzIogy!V-tSk+jqb}NEFC$s3DGGWY!pjVUT7dRhe>PwzL1(<`7b^*}lcdY> z0wVwTN1Nr|()yg~53B6}qDc38{{dy*B^4z*|IFMu*{5Wn>NA5Fklz+MH zQ*Y#b|NE|Kty(_Hr^UZ&#g6YQ2U2b;?F&@WS%km5rZtvd41C`8f#RIAbBUFTWr&o) zOLdD}Wnc$p(JCXmv^XQeAu$81*>E_xK{L$(5Q{`Rr z2<{{Uivl6V0^8;DT>9o!0p})WS#=S_uf$IUF(ZRa^z@)XCkH$88xTvHIb5Y86WDZ! zsc*3Yn&KEmYdO#VqFUr{`%CJ?JmXq|Swa!r+td|18hc~QdRGipN*KT9j-2E=Rqb|a4@(Md#51`y^c+Mx&HH+55~pR)b-sbZVNSV|2cWd>f*rU$PN zhKX|3X%(WT9%-5T`l&j<^Jr|UCK&4Vo9JZT#QvQli1o|4?^CZ7C5WA_com~Gj${@| zg0%<%Uqwt_!r#~&99JLl?;J>DV`H&*|L&N=-5X)K?^`fe!1sXBW6*?p=J;CH+3+glgLgA-qfdhy$I$7Y_-U?Tl zfk-K{n9(fHnU}+g`8Lh>pFeh_n2}ldG`Y5PUJmkT6T~_1xB@3PRgFlMUN_0p88R*P z^7=!`Ut) zSg*kq$x*>FP)7(1IudQPSa1g^(QR;DBaxzM&{FOflS2&zhFUO@ETsYB0>NhI)q|0z z%IFpR)MM-u=ZOp1gJ^r<>eYBVIT>dT9f^USp15=K7BFv(K|`^F2Yy_DkV|$D8+OXc zhK4{W3`RmdQKB27zOD&X0rdOBhgZ?Q2X7pY$6{a*?gS$F;3*-f@JnwGL|Iy5A0dU7`%OHhK3*oG6`WUckir=ghAr*=QIE%m zuU|gK)`p(gGrTQ6vgPUFyT=e5-qiq|?l*H+TK-GwItwat?WBk!X$`Si%62*Z( zqDHo_AXeUYY~+OP0LYIe`ym7K0xp?OyT1wz$>-*r3FrsP;C-`jJXN?bud~h6%ZEjJ z&ohIpD+DW(SWhkrR3N%Hl2j9j^LN?zTw~f z$^AI6^AK)RPR7*-cjEuX*VBI;`%7%?*cgk<(u>UU>W5Y_8q_*E*<9)(cFDmdJot5p z+C8rF$$8l5qRS+79OUsGXg+Zecn`QIy2@pNp^DJ%tJMC$`480~2&F!Qxe(2=1I3&* zO^|~zu%||(@>998$~`l7mP`dUW#l!Y?+n2tmR5#XlE$o^d<>Ydgzlz^LGI?}Xr}(K zDTN_z(H5bv7*+#UYq+O1xZiceNyTb+xY08?8A!aH9IBHOlUzYY;FyhYq(64Upsjj6 z3xPqA`vWVU8|&KR+RYpBlzupagSu_~TjK8H+i@44{Kp1Q7DNyNr@?CxX{kU=`#{9B zUV56Kr3`Xrf7806#yQrp=(`|rTsP5gM&KD79*X+5Cb$#YT-^?ite}Ho1)4g~6H4B2 zXA%YDU+UTO8=|rFGR0~Yd6XzVimwiEISP$CCx}xy#Y*lz_F)cpZv!TX?(IV)osAc7 zp2U^W8?oF$zSg@;ta24Gd(te+xImve_^5S$a}lXRM8HEMN6q8c3;)`fq2Ns;<80Da z>g3{1;k=MMtQ(SteL{`tCqLz}S)ArOIS~Onr4=O)_fi)2hrkwmU%@W#6l0^ir7hmQ zdC#PyuQ}8*+#BlK7SEqQXA4t1ZoD^|L*{8eNrbah>40ZaGTJJY$Ppvbb0pqpTAp#s ziPm(P3Syf#`JcZ$cLB!dNtn!!JREiYRtQz`tauYhWEoimu#mV(e=47JFSE{IlWI$R z@)>o=YTJe^1LWg@9D+4lD$M`@KmbWZK~x{Br?I003{!dBAh)D}p6+_9QL0vh5VD+p z<+@g|oqRa=E*?(4U`DHsp{c<*gCn_Z5UqD#@gzV;>HU-FpfnIMQ(}=K7sDU@RxRf% z*yyav9S~9H`Ipy3$*GTBdykNR6)SPq;vWxj1H6woc^i6S?CZxQ46@5%mp_oK0 zU9dRwWarygylX#F4?8Fcs=t)yGmRZ{76l=1y}E<<)rQ#9w+GH)IZY)dtMFUz?i}=B zMj&#~JENyEoELM3S1~T?BNoV|)(J-rqeN3-Q`FGifunamv$0KJjoID8vpz%-nOE{ zYTBIne}P+lIrSy#y9g3u|0Ci%2Gl9~Zgv>I?xIMYF}lTh3`XZ!$oPhCP~%ZIH=h{V z9|!t|;}u-$9oo3m%Bt(O9rzO)iI=0}xpKL47mNe-1r@A%9-@FHiO7kcw8J)L|8ZX& z&1?QSR(zK`DNWUZ>wuLDh%Nw1PL|7xB*SU>+%rCw;UIL(bIxDpoyA)geUbP0r*ngf zhYb=#3%Kd*BSlSWCPG*!UrxV&zGvcn3&@XRlN*!S?*_5b)S!V5S9UJ+RIWa8PUo#@ zz=qyJjSXSJ&f(HPo!X=8t zz%KK*hl*$U>nX6Xy$O6_WV-AyfXZ{(-eTFD{vu&+=%phx&EOHwNC%;C-mq6HaCK2a z;+Uc!y`2aFawaAyMsch*5?FS9BL1&?|BdH`)(pOI=i_L!ZSP<II5^Iv`#;})iywELidJTy-!ET^ zzL8<}8FnI)Gs#G@K~z;GMypoMqIkYQo#x=$+#5QB8OyB13K4q|z1Xp>dtz7LU_5{F zEN(m__5fV?$ky$#yMI@Fc=9@C@PxW&|AA<1(xU|kLERX#c2l;k81Iw^&L%WzsIZjy zi=PEdX&on-mdVB56}GzQ$>>MaSML`&#^@{YK=`BxNPa~g=9MMzlxmPJpPLu&i{t`_A<0kx8tq>)_$6=;<6c3^5A?@vR(X7MbRW?c-~v-ly$~ez{a>j5s+VfLl#R ztg=K=iwLkxXmro#(M!}YupYj77=7qsb`9=@vxOCwGvI5b>RCk-OagP+!X3y$387nq zz!Kt3O=o?KPK?FcSZ!?IF%qi>tK#~@2T_eq>!(AfW1xE@QB*$A$si-`&{w>(x13hR zx;fh?8=C;N(VkjP0jpfSq>U1#LT3j|$_hgh(XY8Glt2c0f;DYZNTUH~a#j!s;baq3 zq$m4JewIZ^2~&x%sBaTh?0etFuR+AC+c6AT)b zE}Pqp>KMdf2bT4seYQ&N@6Vj6_qg(EnqTGR?oJQBtd?bieAEW}D~N^AOg@>qmG&wQJS(`@lM+`ls(u|RR< z)o84DH$c3$$DzTIc>4$+|2StH*|!hIf>lt}EsS~F3oQg3zz8^5HkX`>o3zR_oTs|P z$tcI5EC7-Vjr5r~Z|u-k7QKu{WxpGEctJb@(Zu%x$2>PL;N^IuY<^qYxp+skP_J@hfR5Yo&1wkOxVHLbJ>Ft^Pn6ZOPwDLJMS6#qtmn&;3n`xX% zz!JaJa9O&Gb#_@pH?hp@&;XZY?7umJQHW&Dp2ijgKaul6Rx6CHPYv&-@?D}zIWEhJ zkj|v*tyOXV<5K`$8@n*1T;3UR=h5A$x^p>xzzy(FZ*ROodAbNe)D=n55jH~tQV`Bh%rQX)7bIA~Oo9dWlM}7dRDz7y-XROm@*~^@c1-AYHnWH^+`B8D+<%TP zXC->u+G7suZ|$BWMCy8q_LTW4>rQ`Iwym}Apoe+SsiI<}t0u( z8Uc%R$-+Gv0b&-eXk0Q7j_k;zJ?g(SWTg$#pHdB?>Zus$-5yU6<20wK!(m}bN01=6 zuv~TnGy`9q7`yI@{-QYTz!q4bx%%uzl<;QI)5yl^nQsIcc^#`=3`T%suvn!BMKsA$ zmG)osVSea);Rdo&cYbJ>PWv46wm$h-Msy34NO#Akn5!c)lsL<{axvrU`n#y>M9qQz zt*dhrM!_Ad`~n-^k+`Z*5RS%@)FXq77T;)}e(vWnN}YiraKrNwI=fRN2V!Kywzz+H zG;Y4RAL|`8coW?jhp+*A|M+EmW-)5lz5|$^AO+Dy)*(5VGQm(9uvH#KuSgSYg$x5k z9zB@mItwh~lwdd(Q5nebEy988|LZ{iZi4L+-;sJd<}GJ}_vRp4+2?>sMu1l`PjN#6 zl@|ptvs{WS6EYta1TG&7Q6KV75-d;TAS4K>L?f?4QJfPofTNW>%dYUn%+CL0l;swg z2?z&5Qb$`00$VX>pH++&^}l04(`DrDG&prln-Qu0MFOBfiQJ5h6dBx%3g+a%k)3e> ztyU8-lY83DECIar<~78_$Eoz*&lVVLyyNEmJ5hCy6|MbeVp~@qMu#6kVu6PU0Mz6_ zY-?qP7bv#MgGS}dY3C(ZC1s}`cC?L^m?Swdo#}yx?ajfOnK1iMFXmAO3QAB3hp`z= z?K$@_m`a!?p(6`dBqf{)OWH3%riZxi0=Atc_^B`LUjRXuY6vEUYEp z+}J~W00^ub$Jeu=3C^fT@naubKk?boAO<+B6NGuj zot(r>l&wtyR4c(Ju4Of%k_$X*)ZYmcWl2t4Ru;_2{!6YNgI~E7gT3mdVisbxL`11C z=(y$}b|qFs7r}#N42F+v-xs?#4#lH;_v7Y=C-@d>j_sTAiv|(-GWHfYO~>y2`ce52t z0&6f~7ZK7|9@pXp7?HRsKAC40z)4>5YZ`A_ege0Ao@OcK`s7$OA%75XX9fpFW|uc* z+0yEr!BatCpJtwBZ-cmyty3)WzWL;d2v}5&dlJCnMJc3qbDA2cudwq|!Ai%iGV@fS z1LFmV7lYsY%Ck*k2cXrGcbQpQ+F1|K6WClYF>|dEX8iF4O4PB{*f%^3QCK2o;r&=1 z4Rl&R;#OJZK19n=o#UhEn7Yh|4kK^`Ezwpj;K@=h5Z zA~3L%_&Q5!|5WHuWWm*?$=wJ^>roJuV(>a}c|tvsh4wKsSK4`z+&*w%=e|X_#Z>ZR zqt%MIG1xzdFDqth3B6fUza)TM%TbB&%W$dYpDUVKXPZS`Bu11;!NoKBP*K5KR3!UJ zKEih;ohkZ~W@CR}EH;%`0mEp?0g!~JfRU7!J7i$Jg#}kxFQ5mabaY}FrW2z}vTud&4(YgaOegGF>NyKMjh3kOW>rii*Nmd=9dQDfA6E5K60!07a zXFP03%s6y~eRObtbm*mmdNkpU!iAKHFYn_caXhE=-40xi?LHLSdIsac-TU$Q)6=Me zv)T+7d}!!EOuTqU%*M~LbKibel-qD@2*_$iSl-UjuPSY+@8>Y_)=)L&q%;})Q5 zkTN*~m$WfTT|!J)h10sn3}Y(}4DSXZB5sdAjhbhd*wJrb(+%SMSXVB)I3rz2mB>33_Yrqy+>N%%{gVN`px_HF}XR()UtY2jh<3-2Sst; zH4pIxZ~|WH1MnTl$)O5po;&oDU8yFgL{wSBL<^8_iZDF;RG{2_F0H|^0)KEy4;NJ=)l(9@t&Zj4cM=3 zMD)6G`$}}PV9?!!z6gBO9n3PTfpxVgvDwz6#{)NcOPrlN>^F~8AaGBe4*<@4*41PmuwGX$|#KSGeG$$5?_6&cJn* zz@b%CA}@)+vWhM?5npeC)?RhL>79R^S{{kT`vmivyTpT1;51_KRqU+HcqTEI;OT3?FCbIE^ zeFO3C(^uYsN=pQCzzWoys;D%C%E7@vuR-aa`}yCV;&KVOIPDXcBeEk53tJ%>2Alp9Zav0H5&n!%G!EvCfmU?ZjnjO{!Vlfv=xX^`qxMAv&y+E|ASk52{=J8Sa(~% zb?VREeU^rsZ$k`Pn_Y^Dk6&W{kzu0lJdJKvRksaoL#(8RC>eN)rgMEU)ndfMl|uNP3ml@9oGNojV3T`oiZ{x?<})2`uM?UyqfwD)t#7U zboO9>bs{ESeu#JP-o>u{2cx~E17Zc9F+rrOB-$bIO%RK331k}MJ^Des$+68jP06%8 zDmp=2v(0dcC{smowiC4w$mBRURTuwr<@Z?9UXK?WSot5>wGZ(f*d>k#heG-wGmFa~ z>%o(}Ov=dZe?QNM`II;EzT-(Vs{D8%adYO)*^z4-k*o->rKmz}Qr_i_Wbtxf$e}sx ziW%GiS81%vn3DLHB5;#8+5HIp8fdFO_cyC(-$;n$(1ICF_lubI3 zL9vf<0Gx{cN}t_|lk|yS2}eeTsZzX9-{b&P{G?rpn6{4tB4Rr|iog&Oa|R|y;sj6p zD+VQbz{Rh-jFtp1Z|RjUNzUJ|QHp7bLXAuYp=vT~-+w%SPA!VlHp++t`naB||3 z1C~=wCx_HqrL^#B!o_gU#_sqe2Ugy4;+u6WOf0a(FTRPE;z%tVVI%qU_hHe#u?2CD zNk(ynyfT^$@UDTV=7I`@CbxE35%hJ!-hH92-U@o?97INpv>tMv!mbz>S$(Qb;oS3z z8ks)d5uXa(m7Y)?@QHKuyW5PGSw$$pT~*=bLr*5RU)_(^!RF|$+F*8Z|IOq0?eUdZ zLT~7*=_+<Z z{kAmY-ZXX6j*lz|j8L&a4U%G7hW+j{4q(Jz_HEX!a-*nTmTy%Hp`3^L4jK5|HmYm# zUJeva9B6qXGxgMz1)?Q_api9&<4n=P<@zev(d->pgXq0l#5>)>E;1v!)bncgWvoA3 zjZ<(yJt%TlzI?@|WC0G0_a$}!O(K=t7UgM{fZLz6BLVL~YCKKy9Qv1R@*1q^Dg^EF zhwIE#D{*LKAG20n29J$u1i|(M>t5JO03|lGE>UplO+QT*wA6Cu2R8a=6*?vky(-m8AR41oW0YP zvUMPT35+6H!J%=FL1TajpA3lEkdm{q{l0i>ed6&w-6Lyn}vMJe_= z00Bt0K_Vw)eUGfO43RpO^`zD6-gXyzFP)wFkXE86hv^EEeWU1S^&tV^iGY!yO3*zV z1aLAAemRaLQrr`O)U`0gwH{)ugv`P2srh)XXj3jgm>)3pS-pgenO&IZ>p$(U8tTw_ zp_n&o=SCX^(bEO9fjc_8x?&dbT+TK{p3EN7SuP!j^C*Hq~!Jf5%=-B#Zw2BQ&2nDp8=LD-o@`3s=a;wjYe?H(%oE*m#T_ zIuLD59T?gceI&89%l$a96;REZyQsf)Vc*|;xGjkQ;$i%oDw4Azf=_X@mTe5~Q(G~`U_F_qHtP5aEfC6-v zrL`Z>OQZoV4Zv#T-UNFR4ARm%Uy*C6va@Y1KkAGO)S1DrESE!Na4kU@gr~&FW#%eu zhJ?4q0+1fc2HxuXyyjQpm@BK=jF7*xzoLq|K?lE0Nwnfbg5}JY9pfP5NNzgo1VN#X zovo97;XU89EeQ|Pm>(D7Q7ujy3%p=}A`VtDk?=MmEO5;TBGe`OxgeUwa4OD|VDZdf z3Ajf-ui%)KrnSlC&|d3P(U}$R_>8)7D2mFBx{D$SG_}yk)~#bD-d)1pYzf2LB^CP2 zeCa9(f;Z5yg#fLGV&=`U80hGYkFo;eUIA0P9~qw-;E4nQYSdgbInBA=Dzr1+YZE#(yp)>j80H`U-^TgOn1)ssF9Deu007#~T2-UIlLS6$L5cl2#orIS(ZM6P8^<+Mafg6QCtTWLVQ6AV&Uti(+5Nn(|&jpS>qB;i4?jg|_*=FG?uH37@N|m8;0K5+V*cGp(<4+1-1lrwqAcIou4_||8UuI3H|C-aN#}*;6{aYge>@3vNer#94kGWulQ25q*)|p8Z#jEDx{78(xxrA8kphN5eIh{=lq+o9y&K_#nQZYLI zJT^5AFlbK3{Nqww$F}U;;pRBD>u8i7F2s}91fHlLifvnl@w$nKKwZ_12`iCD0wnRI zncM+UnT^#`QSBW3&d$14kpM^-lu(Nag0%K2vneg%J|YVJFJX7ma8{N(TSXh3ctW1~ zSXZH+N_$ZSq?Qh@0B5R{`P#<98!E=bK!<;nqqr~Lh-_Yif7X>F2@ZqAp-|}aAU!t9 z2Kk%=^2MY`+06g7EWNuPorB4a@*qu(lYs=4a^$il$k`^uoLNNwS^RV@uqZlOV5)7;v^=CI9%XNdUz70DRBHlrSB8acgjh2P*jv`cRpfd00e)Wk8dJD;USI-RAwTamVt07z z&?y!=7UC{k-lyr01ld3YMlUkbNzB3RhuCY%N+1pdroj0{;0!5AFc}vU@{(T{j_l{6 zj~w&#Jx5l~`gzzF+_3-s=Hw*(@v4j;D)H9fj@{QPM^)PegCJdk^H1F<`G6|ikfxOB zO7^2rFn>!b&b`Wy1@obL)UkZm-}2)keeovJ3_#^@)2r{N4G#!sB~=dEuws@ia`HMe z2hkOW@*0H8e0Y#DtH1#=>3w zOs+h+5nbbBc<6+1YOzck8<>sPStT+?-SEivIDO!7Tz+^r{&n|K)a_e~Z3Ejt%2Iq4 zHY_!379g2PqLm$~Q-ADh)DyrZCcq)sF6~Mx>^SXG3>!c84z6ykDR%CJxYo_aljjf8 zM4_31KZ_!>9?s|EdmO#7MdR?%WATq$f5vZ@FUI*3Kg2Hw&cx;GSK}Wy|BRnboTa_z znouf>>KC<>a0R>Uxl^= z!||2gO0&Ys$MnD5BPXIJ5{{+dTDZp@384fn37PMbNpfc7MOvw9>OL!;Z#;%-Gu1JDmDa5)kcc!T2=e6<;D{@@VoW+$=2NBS(-MAW4Y|rZ6*%Nv+-Q2t}_75J2 zEwz2335NWMj0w$CmyH!{yJ3Arr#q$tNruDu{dCe1gzF8bE9{Cqzt zC$q!3M=gqvM8%9;nUTe{NZEZwz2f6iEtQ2@W}`{#CP`XWDYvMq_c=sj5z62R&cy1) z6jfwnjgx0JM5{C&L$v~2c(0fNWjpOwy;IJ?s+UwcL*S%sOE?E?z)9OYR?H5JS(daf z-~J>1viE!(9X=Y3Y%2YxWjRCw^LmIKb0>CNidrR*@EiWQG?aA(WQ7f`x{)Q2T+BS0 zBoz9wIJ5sG`Q41)o?efisaOBTfmp*@_$%q1(J3^BpI0 zAnmI6MFOhxm~9ia)NRL(k=$AT=H;8%y=`BtOrj8GpV=Uy=#}fY;@FvE@z-NN#P!?v z(l^7n}2e+^iALb_@t~6Jr zC-B|5VK6ptz=0gBj+<&bS&Dx6Vl*a!YjX=LX7-mj227Fw0FIo9=xaHJ40{eI#83ny z=pXoh?Z_N%`c6+P@Z{crIsKg`Cq4r&3Zi1)ibT#zo&z+>R6H$2L;9NcD5+SDV;~nC zJZ%8jiQtLk)QbUGh=huWkSGr_r6{u`2k{F$hJ(}Vrlx-us?N=QZE}cupKx1_G5vI- zqvr!K4Q^(Vyw;Lf)h^Y@KVy-K!a-U1s zR?Xw(9VXIl)K zPWo&XqBw_c*ZE4~Nl|>@v?|O6SN5-cA$+r5+)Gi_m$+r+9D#~@N)nogC^Hmh8b)W@ z6o+;mq~>)o{^C`%vGQJxnUZvaM7&vyeR9q0%rZY@?`ic|u?3vx0CHx4}(l zM{?ZUu%A))aDzkYnmPHhpW(@rgKR%~Fg+*MbFZD+U?|qI-QK#&&q>Y}^u?Tl<*}HW7#u&!l|=v5_q%-7VdC zmF$e#W^@6#8Tm|5g!_+2V{=O}Zv1&8IuQlV9z7Y0_3QCZ2G0_9H$R>@m*UCgTQ`7N#A*CdHFmYfh0$yA`u(fu zL5H!9QQzwiuVWH_PtBmz(cay$uW4HxYaNLbUAtoT(_~zGaS#0-lMjIqH; z4|vBTD^TQ+|Fd@FDI3Y0$4m%ITwrQutVltIlqx{;=j;Jr;!ngzxTy>QVy&Co;HLP;1!66xW<{h1L>mIS*ALaXQ*3M{CVpd#)75z z;n=AtK`@;0YgoZNeF&#{9j)=(gG+33{eT$TPaT)zJw!`xsvCuF(^6fuvQfAlV&BHf zZEII6NKc)qQw@FV0CI%Wll+Rnssey313ak&oOUwP^rakCnwsRm6BqIoUn}EI1<48| z&3>0v%fnho{c{)nl%src*p|IMK6ia##? z5gT_5#vtB0r`~^wwhis^_}#O(j2O7y(GWYf?Tmdy#(DZ^EbhL15`X{kud#v1NjIR#A%||nNEP;y}z2_!)+W7^G|2r5(Kbsnlx2&?ioOu~1t;yEdlP++=UaJRJ}i5JLwAON6~wtcH#e#kv$*5CKm>teRjP z9^ZNp?M&o7gS`k{l$Cv0beluMPxhU3gYllkC;K6v__TcZj+2+YDxKjdr^|l!emOti zq$TAS{pH9j{wc;V67#VyNJwNqK?w%eT*k>9^pk2 z?%~O$_+fVyTQ@ex$Ej~I&A?iRXf(p<)FT2cGwpn%Eh~tOx^UJbM74XNSShM*S&3(* zH?jP5Igac(8a4Zm$M5Kg{&^2K%m>cJ5S~(BV01deCeH>4jAjbXUIGRBoK6K@t+V$l zUQCzha0jEEx(4#qARZaX=K9#JIJf&OT+-pVeiNH9-W%*4jOhbw>gQSkn7 z;&}Xk4&{#<*W=Hdc%e9cCe9x}&H2^%RI?64uu{igZqPBq|gtu>7 zW%CnNJ&MHvISTFnS9{UfHTr{nKu z{*JFKIM<7pVrXP5M$KDe2BYRp1U(u30I_;`3%5UY(cj+}yV+#?>e0)%JN77kJbgZT z7}S4WzY(>YJBdZOm4(=ER1Tbl6EW!NJY{~vJH)P@VQd1A#^+b>rbu_@@hIRJiZv`u<7cq?^xfM-zItV-TfV5ATy7n^y_UF!k|M z?CKrN;1!o{-iV{e52twY=e3J*6;A8yiSu##=xLO~m*USG5Hb9J{czw^T)clVE})G6 ziRZttvh)CldkHyAUoKSwvj>e+`EasAz0#IxGy?e1aw z*Z%nS`fEIU_$Yp566qtZz?Ew^q6rg-uE8zwg^kKph*~8~cjndzyaE1xo53{@L`^rw z({Dbgn5XKVx9(|B`nvGP-A3pCngzv@nU>5 z-hKR#BBPU}o1Ik$2vxht1q9DsnXi~yY~4DXo2=Dm+qp>t%Ka&%QwpQMBrti{x}wW? zW{;Y<@~J$d95bps&LnWldFxYe1^MpJlkGW%g1@3~FFIdwMVs zotlX&_pacwR=Jyj22fRaRUyM?y%2Y{v~7)3M~}uYBR{ip_Ge7cIhV(7#?O23W`KJc zLa)SESX?yT)C)^{%5GzPYQ(AXRi|pYXI@oFkIRmFVjaGm{SfQ;DnGFAP*m+dL>+Fz zX^n z8-;~9x~Nt9Q*mw%+%oXWCKT2u;jF&CgIIx6>)kA8uy^px_M_2TzcH>steSf^#LnTJ z5DD7n#LCpC0(}9Ib-syJKtwfN?Ubq*GpxG1$~l90yF9-fW1rtf>GE&!>)~Hw(}qpa zfO~Cy*lY0Vu?#G7uP$Z~H^9BI*RQ|_^uej)D&UzQXN(reOm9fQ=pzlmxAhIh1~!#z z+M*|kDs;Cd%taRaB?*Vn_JYHcqRX-myj|S%b{S7V0A@g$zryeRUnDRi$p;_PKtD*6 z99HU|sEm4o%)$aWWo#w8W<_&(nwu&^eJrvRGb)@5NU{Q_qs6i|NIsX#kUDB1z1^^W*9^rx*{V`AGF6n9{hpAGubhpK>-NPt1K{%Fd<;aYcw~U<% z-GGR<%8vc_@!(lgoZfvZe%SX*{DuO4irMtS0|xrYndrsg{u+u^95iDO*1(Di8RJi= z5uKB|jP4eYux@h_&G)nKQQqU#p>;i;eH)MUCwPCr+WFMJ!*S!mt+;siO8n)}`50yf zd<`+3Wk58bYmz7lbP-FPT{Q$y<*1?j9 zk283#0KfIvhE?;|_mjk4gy1soUA}TT&Yn3Fe?9$k{BiYK+`umD6x%R9Fm!g+dVU?ZHn-#HD!$q1%T?+&iKmB6J)1B(9>D7Z zW;cul_aiMssHkPku=3a4vMElnS$GO!bsKoDb~6bR3h&5{eX*gwE3QMVS~hNop`mT= z!=kMQUjcTEBa{k^9rowRP@r!`XOen7UFf)rpbp|G(K&GFa11wZO*njFv-DSd#k;j@ zjn!(swmLo`@9}BsIjV`OIC}aN`qNGr2?dkhCNxF=IidI@^R)0Rp!l^fO;vO`X?V`90GEUp`A!w)AWQUt{8vz zJgz>u8Y``egNo~ljuH%KesIA4miY0tSF>lqDZO+kGK#$-owyDSnZo9%aO z{klv1fWagCV)M2g(aS_K*gwpK))tePF-+k(cN+T9H8e<=vB7i!?uywWtC1z@wutWP z^TZc)u|33dWYzcmbliMMuvdbqxcBrBP6#(QY>L}A??>Z??$}Bh>#USx$HQ}#3RwOz zAv(@h;Aoa0%*OOAVM^luRQqlb?-?sItMu8S_+ju=9NxGqc5XxsfEUnFR=NMA{#V|Q z$K}^Aai9Du?!11D8A40!C31L6Z8ss>nPBH&FXmW0lgK$y{LNoAFdL z2kB%FWE$hruLaq#6DvnCk=Umao9tU2`T5U#N^bewG?jFT116^5%g_If7j!*%>vb+z zgCBhI4bv9~FNt6|0IiT8eypU}=dILTSs)qY{o;)j0kg8a;L^5bsRY&5Lo^oEGhZHP zcvcWP&dE#nDRy=z1F-{km)DtHS=B>yZQ#5fGX|vhB$%nxrR)V_)75s=#_P$KQS+!S z4(&M^r-@8_`T4b&T>Tn1p5Kh)ELU%0qi8ehzi#TaN+nqPc4pr}2vplLwp4s;iA(nZ z500PbzW^m>FBI{QKRt>@5M^ZV{#e9I#NE;R@dt$P7s?pv?TPUTR(RCwz`f<>T^giv zTAQF|%rB~mRcN5uT(tu6N{F<&uPJfv{xw(Zz9AO>@$ec-*As9>C*YE9#L~7^6!CrW z`qi7*x^+v`3|Ge=1S>du?ld~BQz+su#}$^A&yoH|h}J(Y|06D4{yol~`YT-EU*h*` z{~ednbNzhcFR>qY%C}zN)DT$J5lICh7UBbk3;_aN!8DpHqA;LO>^c%VaSV5t#ehdM zuZY=)rPrd$c>0Z5d6o7VvMjl3J1=oT{?qf-7DqehMe8ukCz) z@*`J5+QKTEbEjal=UyTl7bfwxJvawZp2wz2&VC7fk?v*oLyY>md*cS;*$mIlo;eqj z5UX40Ay=AfVjo^<`|yi(lSPZyOk_Wu`#++qt}||6(Y|FX#0b6TyQlAB%fJ>EaXzvl zI2QX39*R~b4<&;-CZ)zyqu~A<{B;vBBP>PvxmlbHqI#GoIE3zQ=9w55n1DOl+TzUc zv6yF1;`RGC2*?8G0*8GDEAo>`3AiKy3`~oaT*qp`h&X0bXX${qWBkxJg(->nP~6J@_N$LAnFp0V zwjLgtKM71FSLP@CIiE^jtOGZ{=UJRsgYTJnZi-aIS_qdF69y}4gVRA9jd7V$oAcovd;d!V%yD= zH)CvH_(tqHqK(Y4$yBeM%wFxh+e|y^Xiq(g#CH5^H&nGF`mm8ya1y=d!PGN6K0Jxt zY}q-Df$6)M4{_n%1-4Rrjh^oA9LRbva5j{6F)(Qz?KXUU6ZQ7ciCklC8+9j08Jt!# z8?fcNz(-ba>SBRyME_!I(kvp&8LZv!KYxr(71rQZ7kMbQcJ&g1{!WZ7eTnYD%_!VyPvQd1Bsk!NVT2>e zM!I~4qmaX9s1?WyhTE6w?7);nWR>QM%OaHnz$3Z$L`(>!Xq4}RH{gVWK&86g1{5ou z`1E{XNIH=(o$oQoBoOIJd47Qn#Cv0iRkbUK$Ws`D{&?mrMB*!kz}I3PS?18lUWi!_ zPW*1i+nH&^z`tX{(jGT1U5Kz|(LC1{E%PnWKFfYqOlPXUFLiH4@XaXZ`{9iKiZf`cMfb%+|wVMUScJJ>4pe+87*fHKlStM{aj{(TSkqs zh@Due#z^SwfkkJ#f(nEInfUJhOBZ$;7<3IY#7~%*jrxgiQO5#Q4fcKp9A258j1mNv z2)TH7sK!8*cMxGU>vAiTzqL-f^nn~nG08AabGX~Dj%WHZPq^U2qwk-}dH(uOidCUn zTqYMKQOKPB{cgdHi##u zM&u){l=!<_5|Nfxima|GT9}GRJ&&q<&gFl&Cbz)MPESP>v)8fx$MD6yj_&0q-XXU{ zC)U0KY@KISSikEoL{TPZcsR0Jpu1*gvdXuPVcqlDS5ZwgoqfZ5;BXoUig`EY;EZm+ zeSn^7AvY?sTtWz`1;n1+(S@O3O??O44vzC#37h=<6*CA{!%z#XGmEcNzcpOdj1mdB zez}fWdT-Q>ET_n|{J0kV)|uF{u`51Kpe2*2LxJR8Bm=ooH?bJ*f;@o$#--p1iea~V zuqg-lwGyrgy$4ibjupIrJ-LqWt~2rD+0)noT?Q%F(5Y;Rmt!xZcX%MGAjDTLUyCz{ zhMGM5_toFycMJ^GXZ>>Sm-xq}3vrRHJ?FSSf9!nxcJ((_J?rBL`jbOg#9w&vJ7Wo* z2IZk;Vdii-TN^kC1RZqq`n8xw=g~9N$7WmtHz0CQ`|SH-n~NADzS!Ro5e7;UA^V;u z4p|?W$~nC-FqJ}qbcres4u&;-SPY1wKa=Z*gA_iv~1?b&@th=bWnpWTkvgev>{>7T%>HteNt z#P*>fCWFl}{^V(NZh=^_;PUjtSnS!iH(krLVEb3i#AAeNmCMVTfK!(m2)-N(w(}{L z>emX@HaP9dH^h_$r8tg>qDmax4$cExUvPV{jLZ2DIM(bOduMNDp|diXELz669*o;guf zKH2+po3uH~a<1sl4XIc0kV{^oGsrM0 ztBJFL5FL={-&_)06fm}S1xF^{`y7HKMKnJj+cGIWNGE~IAPUR|`lL>TWA<3bh)#BT zLt952-g_WQ%nEmI-ihv>&C$fFONy10lQ9sfvBXc42G;19jVKUZKnMDS1z7PJ%o+@h zH8wdOwNI)cE<}jl*Btk7fHn`Y6Pru;{$68ed^JSq?!?1bdw>yN&oP{(ClqR3FoDKz8RarDbGh+uW>8Xig?Mfc!>YH_S~W;Z4eAm4kfrm3HRRx4S< zRw9ia!CPQZY+98iH^PdpVxStpicR3`A#=%Ed#y#>J^wy-J${7{o;k~woIhD5MZ6r` zzAeU|zhJ-NVAQjEd5yt#{M7OI`G;TPpNN7t2}E%6$niLL@_hXB`tMP5o7E=_P0yVA z5mE9YxJE$M{kvk{@?OMbL?jGZwV2lb(wFJ47(R~1hu3cz;FvP>ZO*~vVu;)Pq0kB*IFZ;V_PGPOAUGreVxq8<9EY>1&qYqMF|7kTxh~$)xUdkXNtOljk95Yh zbP`adkRR@eOMXg-TQhT$tfp>{VMN&b*Ke~`Ymu!?C*lJp9GBo$m(Xz@hie?_?u*gU zQ55KJ;-^#R@Z8agj_Y=8+m7)jy2v-rUc{EcLHhn<{PFlQD}!6%JTO#VsKL+F{Zyp8 ziy=L7z`dlqXe#PX2ZlIpRTB%YAU3Di%e4`i7a&^GaxzSQanP52yxg7ogE0fOwepdNb2XE`;qjQ~=_g*KPS?lovBz;X zcIf$m1))zRVlVF8%;E!tNKO>}WC`7@HPxN;Hx^dprY4_Hpe8`q*MHENf~Y=_O_@CM zE%$OUj))Ru=X}Qf%Ji2+tDFzs zT0n-J_Q(JtqqVuLyos!=b7U4`z9!{UDB$TV8syiH)Y7~pE*dKur%>A?<=|XpG;dsH zbU=XWP;eSu7>0>F@oI>8R@>PVTYI;}G#22aqmQDiuP3^;^a5Nej#5=ede+O3Tx%ds zdb4EE>vh11TcbPoa5dplM*TrGZ0?ikH?jD*D)zt;9UL4+FZGqVknSSCH3+4MhVagP zjQI!`mYb}Z;kWM{PO)So?D9ICnURMlV+I4&uMkk&Fn@Z8GPgeVY&*(eYK|vQ?tpZE zV6$~T`rBJ^_xvTjnYv0?g6Ii)7ab@XgFOg6tCSLZ7b`UYbQ^^(XlmW`iY`WmbphSP zrN`If{H~J_q%(*)x1&_Q7X3p5@&4_b7#P?ZhapMiX<^-^+g)xJl5nt?db z^z9^mJMZKwAL&#(q^Qcdd)ad1t`nc-@lJALq%YAgi*Us5V}!0W;7!+72ph|vd+;{Jzm79f5?XVn5{buHY}xq%h3@yAcuT`*@9;#n%?}g8#f16h370hpMaEsIsTX*e@&dnQm&KQGmx;ko54}(fnp|eFt5lbvoEFeygeH@Q% zy%0~flQBimM=q&Q4>=*LLbE)qj}?<_aXYVkp3UZ`xZ$tq${(Ja#Ec4Vsq&zb#sn4e zRAf=S!E^J;XPHA;B+UE#1Ci3Xn=EhEqA0|ya;1iQMMZg;j8x8fKkagOS58b{*oqtU z7zl)Qx+@-v)-1mcVky2Ed42X9q7&A<4%8LdS~icWv-tEDCFhGV3`W`eN67946w!5V zlA>JMQ%AX7s(D2{+=`w6(&*G@ObG^Pt%GaPXmGI#>vc>M#ui@2`uK9}AJ`RJns%g! z=HP*>rY?pT(KDg{VsJX_>Y<|AR>>i77k!$hh={CPmgDxzoAKxqao@0Qx%cHU$kIgg zmP5$;tMTCZjbNP{hi~VI-PaSJ@n2=V>k&H=M&xH%^(;p>VhLXlvNcdbz5c?OVQ0~u z=`Az4QDEoboknkQ@!^&Daj)hIM-lZdM$NAMF*vl9)w}T+8r~jzcJGP1_wM0l=SckR z>@N_dODL3Y#;Ie6<1eRvi2uHRA#UBi6{ilKh@VcLi%WN|A$HfrVU*JiOL(+k_su~6 z_E^N+A)VSm>{lQJ=?t$tQ>FvE|8e_pUuC zre|5az?6ZF!aMs0;heX|6NuH=o0svoGe1Try3lLv8W`HXjZM5A@%G6Wc4mXv>CK`) z#JZdb>Hu4#_73f2yUmmMgsA?@U;i5IY;#(JqhSaqvCSb%pJ}=yX_g?-6538(0f0nJ z2Y)#y%MD>)SYjL5!|RWTQ`i%OBf|+xN0dr$aXF27g^FV3Q(i>*ddnUaRtte5ZB$eBy%@}>xkI-+> zkI-*)F3vbNy~o~NwM(vKNRgsMN)$A7;{niCTU1R?@~01yD? zXBB7tau6gIa=P2V4-Ys@^3LNnrYVi{D2-wSO!FN%A-3?T9JVG{Y_U2i*C6y5MG^K> zxpwW^)y^?cs>N~f{th#UjQa;wWEl6;wUQ%a}p*(|!Qb@62p>%>4z?f&Ic`p+mhZ!%j3vB<%ShVxp z6DQBu{mP>9$8%_IRCBQ|B1rl^LS|l($a)Cz-33DBZ=GPs6=j2b@o}So+WyA|nB3U7 za)_}j@zv@d;`E*A84QS6QaqD~&fad9*rHP?5p21{9wG5%cY)4tu1Y74 zB`@{L*eWFzyU~62tJ3n>I_(g`D^N+m3ofaj7Zy(wP)5Z6MI>{POhQ3GGwB7Tzp6#W zYxfuOJUGvh^VlRs+@&x3_U>(`@aNggfc6k@Z{PiyEsY4Rsf$aFU! ze#`bOeGKG(L|2q^@(!M+f)2K;_-uX18or&QTM#(*+fw0?R|!aw&w*=agOo65#N4%O zg7J(!`*yXVsZ;HXn=IF0{lo$acZj|1A2J5=*RMZr|IE@LeX#x){#cf2A7d^*U%$zk zu3hbQHkG@8d{ zE^92|xkr&PN{jU|+ICHh;<_c zZp3GYrURvw^B?6OmVgS+ud|{qMH-`#nqj%EAwI=FkP9p8Vn-Mn!Ng%2&?{8QVrd2jpb;-&T+dD_ajrCWO|^R=6W zwFVhNt_XrAQlKs?T=WV2_2YKc<0$2=_Pa2>~1aDtm70)+Sj;Iimn$REaee|k0mWhXe=O6bzT2}%KnnYQepu6bJ zXx-34@GD_FMI`hAl3^*3u*l7AUbF5Dw4I&t07}O#W*NX+L}9I>tW*KE5ED$_@5kYB zic>X4VU9{@=Y}oqFjMp|naNvW@v$Dtw#?aLR#Fg0=Ta}pS&=>pE;&AiMS zz~w%|`fx^MP#Tx`cHz!fEVEc@Zyr2>JH=YN^5DBRKn4GjmHTAZP8>Yk4zih^oN;VT z@&|vc1qYP9z=nG)0jv;8L7sG5Z{KJ)zx}?wKEs08Q^(u8_~(4djOHTU6L+H=X3+E( zj!^oSuRd)5{MMi8+F@yZif4QOS;j&3w;MNZv>p4V+KH1V+viN-pE^tT@LiTEefCki zc=_x0`q?w>=3^`kW;u`3-Py)Y062EQOXvmSuF7ABqvBz2FI}oVOhZ-Oow6DtqttzYX?xID|9v9LOEWii{Cf3)=pxD{_UqPF`Vcs z!H+d2Gw#nkWWaoXdxJ5?&+z1b=e_sY>GKV|_~~|2sUTZfD&%b!Ugbrr6d8yGqv8uq zp({AJq^$NiV~mC_+(H!VK-)I4u|59j0SyexX0~p_$L-_x8skU0)%?r(kJ<~i_dm4v zKzsARF&d`ZILdy_4D9>u$WFG5`GWCE@;UeBAJXtxY#UN}7^#f7s@VCcBo$N@4__;2 zo{6J1Lv~n}$dYtJAvnGpC=?=lNtq6w*vlaSV0cbG0HAF0Y7J8@-6v(s(wjdrBppbK z;eaJ<8el*waG-93f1R2G-Vk+}Z}KI-ReBHN;~rrvEYcolsAY(8-8CBcoCg5jBA$r^ z`4Ht`P?bjnqF94SvJNd&Y+A$8#o~}vh>^y|47+D(WSL`C83^vNU_%1SU+IP8HSk~m z`+xf%eY9LE3rn5lHx|S%9|1axl|8BXHJ{AxG1f7|AYRr1BJlG}f9nM4?g?{j)#|=N z$G0DB+t?Cj9Lmu%V^h6B&LYIg65?cA7KyO{Old3=jT7WWt(c{NXd255nHNiiw=s`J zSmJA`_*F@Xp<&BI2(PE@s*H&bob1EpJpui6ANx7LwV#1X7h5}fsE~0JYjKTo^x?Zh z)}Z1z76aqVp8fKig|IBiIJx%><0Y%@CeN!V$XoYsW5uE1aaUM)$)X`o7V(SE(*j%V zE|Av}Ete+}FQDk{@-EVvP2k1vn3PAcU%B-?`g5@zn%YlmJb`8P6lAd)QKaTE%VfcG z&e%J&YcCeaj`r1+3#|f@L@G%zR4l$T~;nJm?WW632k;{04Pcs2AJUNR05wo@Af|rYXrx`c>@yTth^$|`*-p`iDFWcxiJ8Qt_oV0@se{$ne zJIy-Fr@uUCUo+Ued;h`q)}a%uDtL%H$VcroyImaJbEIAQ;!4&bp2m6|LZk*hS3Zqzh$}DWJjAe-kgvx-dKrkhv=*)qI`{c5P;7?f#aN}Cr9bI{QiERcC^E9c_uAr575Lp2+mL976U4OIqHsJyhC z^J^$)UV1$Dg*(^4VYHp4tI=+}}z^}67 z8>_WeVGhhdX2(534nC~H*PYw9vk3YW%IX1QeIK@W8RI)Lb)a4P3ZFgX>(m*h`mt*C z3)6~pVZZqdBIDx1ZJxzNjHnT*E7k@ZSmjez*K5L%cTh{?T5E1eq0p*u@=?)nCt%X0 zo+z&+E=gP4{s1#T`A^(begK=5NSQpfgPZh};I|$C##JVlahhJl&ByWQVH~b!a0&YO z>gTQh*g!kJ<7gYFyf0`_b^11&%nmCbDic2Wua&`l>L~FGhbpXblrH~b878fDuTxrt z=cV|FtVGEreX1M=$!c*9G5F7tIvzqSfT<8f$eg8+ge0;FLUhm+i4chU#3hcVx~RKc zLv5=$GmW#_`D+*35Ux+V@#uZdzCd4G|F*TQ6K!JSCMppXfvE0BEtNGn>xSfJ-Jw_m zjRaV7e)JEsx8>P+o~G*{xI&RVrzuNzbEKpx0u|L0d#Rm#aj+98){-|0i9&376e3A9 z%o;__dYPe**F1Y_uVvt#lV+GE?q?%5IK`4j#`Q~Q|dLrJn*z%n~u zKn*ZL>BviH(_81)2M&EGCN1VW&+l=nFy7G2e5$0G%*-~u85?1IL&>o%wUHddVqy6< zP^B-(G0Uk!U*Nd+K8|p^_WXJqxwWpHVW0hlCokLH%{%P1L}|VC=IQpQQy;XCS&d-V zdjt#WhZjG!)o)kvmwUIJWCr!`m%eEK%n3E;m`pf->nchSy27(XTYZNNlvxDQ*+Gw> zvZXJ&!#OKAjf&kp6|^nCGVWq;We7tFXv!M$N+mkA_fQ+5yZ<5Meea$7pdFl=Y8Sse zpA(2wRyh>bMh%`RC4StCEfWk%52&O*0M|Ma%R>CPvIiOT){iQclC+uJ5=s)Ph``*0 zC=OF)FdJD(nBjbD7{+{vro5;8^29L8lC+g)DmiItwE(YxIc%2@E$%L(D|RWFZS2Pa zUBi-ET{jN@kWt)do-I6Y|90iWc)6cu;k(=KxZN^BLR|49L%wM+tbc^rwN=?L5ENQl zh~NvdRjX)l$WNcN*e0QwaO$dZa&I~Lu{bG%PyF@htHtO&4TkJ1RLZO?!W96=IEWQm zs0pcn0QJ}KuoTlm-!x!`a9hyBR!g4_Usl5f=u#a$_4ew5{xRX=RmR zwNP5-0T<*PmlA3Jt7S!A?9=ZB5BsxKAQY5L2@D8ms|5~GbZAefcAIfP4%S4a(IO5v7VDbXL3|>M*mi9RF>CDs!<&iG7 z#zj;sHE?)#FxHA&V+_y%=3TvetxaAVX{T8DYxl8^~3Scj0gHB1e@ybg+)}lys7jBM}`lbVre@Ba|Juv*N1-WizDgK;OkdXZIJd zv~W}gnW^2(B0I!^&)zDE&avPqWRz9Pg-~O+LI;h3uE`K*^30f*1Q1c>kWV&z1a474 zE>yW$6YHcU+{pGuk-3`a+cEu7Bmiob8(ueUX5YndL0O6Ln{E}fzTJr=y z62vT5mOSuMCs9pYzuAHW=6XcfIJ1ZQb{}fHSbVJF*pBbYji-I>0o~NhnqYlt)MZx1 zaz(f$w*GV|7`ivZoXo-ffNHX}$R>ha-9uEKXQ)a0=*i{QoJIfgs z%cp~=G+nU5Q63&4y^4P|V+L=({$~5r*$>*k(j9rmRv^2uUdE&uf%$M0wc|P%eoH-(p1m7j{(%oZDR|pQ)SY-Tih!%Sp!FIBw zpOxz0Ji3aE47X!j4z%f~Pujs=;%B}zx*(3ezxz}^#+Sg0~q)1dq%S7oEpoIBPT2or+L^z64{@B1)qo&O0@1zwV z4K)fWAcV>m(Y)J$xBD_@r zic{X3B%23N-p~#n^|6P&b%q0*NPv|}BlKc{HHIvzc1HAHai#e^!gplPQDlMh5vQ3s zq@0;~M1Dg=f62#B+%zbQ?j`90f=m|sle;t#Hw})^DJkR@&%6`AC@pCqbAEF<{?!Zd z6`t-y4*?~fW*)zqdxC-BDXwM>z$$9}dhe+j5`PF^o>2s#w`Fb!#h@w%Sm20+=q<4m z0Cqh-BeYOh2X+hXdI&>bDyPxS#JFL!g22UVLSPIx7JiwQ-Pxdd{k<6Q_1OD)oE?p5 zi@!pJJI3r2#x6TNa4sTRL$BpS4Af5I!MunSF#Ymnd-8-0|Fu$VDXav1qajG=IeY6! zvyQ3gHTMgG`3uk{vqKV|ixPrAq6`q})=y=0gw;Xv%mk9RoBJ=(LJfkai*&U>9Y4|9 zxHHExp6|ZJkLrzV6zDNrl6{2D<%Xzq&hW?|XB-`8lji1X7pauD%Di*KpmM@MzR*xU z5;tt^R z#{cI>e{CQ9f&IGhZTmZWcR3+3fO5O_nA2evFS69>{q}+Z;jieboqhXlcri+s^>G?Q zyYeZJ<>X@}@-A`%ZyXdaxu$@!O5PBox??8g13%Mn0Yi&ixmnMoz_CL|+YE$R29C7x zun3h@QLN;zAjk^kr3(|Mhy`#ZEPrHc8`FjJYXtHW*SsU1G9C9FUvs5F5np&uKLq;| z{3xGwMCFzpHM(Mv8o6*-gmhXs{JMRU-FSyiw4iN}#6gi&;du0zt}M7`!6WMnw1VBH z8E4CxIU7obFkZ5J?IV2C6sygSpWyBil8ae6(zq2i{&Jm zO2Cf-8=o&)f&~VDQCnH~?9{hg$J)i|V-`%8-ah(F?kM5OFS9JJ{*hCk2RDqi-Me;2 zDcpPV1kytWX0wJE53xI!sMo}|+ z7^OOh!de`m3yJ^E0E$&%&V$K@U9PAv9Asr8x)&jxAhfeT8KtA`9O*R#pr-%F5PGELB<0K3YpyN^6s- zd3dtKj+yi$+C|nJI?i|uhswn#x_ro!A-v@$fJ4JWH`#+|S6MUZaZ`QF5W5Y{f>UN# zpvx1htPr@|HZybR(wBj|jA?G((muJ$(N$~=co;W}Eu)*;qb47~A3ENCe5ajph7hak z{zJ~0gr*}{^fqV?VIZg}L%I+YMyczSt1CW5g%=i-a$A42SQVm_op)N0@$W+hvzHkP zODja@<3;1qBhaccK4KUdFtwFl8B8N!##|~t{^wqPWMCQPVk4GiM&O(<;s7BsuJTMO z{v|9K@sH;yAZ}x=_2LPbzZGa}{*u9NnU&p!#^3mNF_EFN(lTFWW>nNHUsMM$eAYF> zb2ejP;X~M$#?Be><;Fe(?Ip|59JX*A`V+c`16c8g87dfMCYRi(Wx}x0gy($IB&s`L zxC(HFZlz<)11dyPn5pPnfYfj33+ml7i29t%lBJjyHMA&;OC7=+zF zki0BmG%~+3K1DIH=}7Ouo^?>kOMjUcDuaHu9o7-<;XGAf_9+WLC*lt9h(T(1_PlW8 zVjE>?&AElw+sT8kMVRkAdrDboW+1Z4s1A~V=~4|jrwO-kSqG;AF-|DTPjJJ^@xWA1 z^Od0v5D!tnWyjMEX$^~XA2KHz=RIOS18?0 z6Re8<MKpI&AFwRN@c8s9AyNc3|0OGbF zeQhxxv(<|0@#d)o^E3v-%(Bg*Tt``v5D7wo*-8xZ>-Y|y9NErgVG!#NWxa}Lb-K6W zL0MwSllKxhsSMo#c8q<=boia8@(e=@S6?hZ!zJ9dRT9I*bDR;3^GpfC0vQqc?VkLB zY_cnK=*d$oD#HK}_IV==XouYxhfv$jK^;-SVFksUN-1smB7%}Q@gs|*PB<^pGvLt% zUtHy(@6!D;Jy)_zFyRTQ|Hcy!k;<)nfzCbm9Vm+xZ+#+)JXQ(tK4Y)cEkAbY>=li$ z%xe>eN_d*OILtEUIn82cCl`wDBBo&i+rf1NUahRM!hnyx6qoF{BZRQ#XfRYNo<{v| zm;ctTZTNxS3JMEz)Pq%(jedtl9RJc{0l^&`r`l^A?z(=0^slboovCL z_+&0}YE-T~`YdX>q)*YP-YM@DH)H1kKnX%DsOtKxXfx?(7Agyof}6GyL(I$=R{c~f zCE{)VmJVi7JIUMJi|E3#vQ&kI8MKmGF(g14RK7B{e7!Vb>y__?l5daOV$_w_7vhj@ zXLwLC+QnoUD)9T-eU9}0_Y0r2Cp(_7LEdP)`uKMHar&n=FtrXGR@&$6XKf36^1z9# zOI!taKQCB_Jx!O$MhGlRaCqm2YH|LvV%lO-Zq0AGKZMe(c8`)vFBFdjNyPz5(T2(f zoSgid;=>3mFvtH^VdxO!W?Gw8!(|Go+k>Yu!wqKqq%k>wugpv3^Oy4X1Y5;ue$p`w z2lt_&X@m=2u5YV2RoUybXX2Asb6+~yLiV#y{vb*${yLg=w(1DgwcFS6omyz8+0wV~ z*&3S;y6ucp{Y+ELxOuH0J$p2dY&kxAcMujIV%ViDUAb2)RFKpw61 zUImhv+=!PA30bAwjvuXozZG6(KFwI6yOJ!y2lLc>Jr2@1d%n%KHQU&c0|Q?ov=Ns( zQNHI`y(&BL2wvbuJI6g65s=p~lS~twxU;mp13xX!ZCqnV2OA4YsbcJd4vTCtG)MP% z76)s$saa)hFe8{KBvvUf5#;dDFpJAqXaGGjjUC`ph6TowU(B&11O`JNd=1Tdg{G|1 zJ?bfRl!kmH8dv>P3SK8NZVgb5uP==l!4}Cdy<-;Wq#rDSFqxAr6~@-LT6e3u84HE5 z{P(x+wUn%IVrMs{rag^sr7Og;%OUWsY+ep9S{VgqP&76-T4A0zOq)zg0tCKxP1t~= zjks?X70ku8eGC-eXCLj`KWfs##Id0^x|y9n{b2E|F|hI}<16E%2#F6(hxsQ}@Wm{W8CgR!Gy%UV5lP20e-Hx< zodiIE_y`vMX4cb%XY7?U!gebRMWZZ++Oa){C_1O?ytu=tEW%UV<%OIVmo#GPl7!2PvQwcu|z-8NkO^=z{d@IBUI8Y&tw-q2Km z9~i6f(NvKn=%?b+q5wMSR6LeL1uA`^nek~jtxG7Wl@-sT?l3)nkOn| z{F9L7q%}htt62BMNaGE!?ONx)OP90?0O8ubX*|u3_bP?JGGA}_rXdNg(ttQ5bw90Y zfG8tj@+%e;iL4`b@gWB3*=+%teN3f)#9wxKm z3H)|q2Hlw1NZ?5?FJ+{#qnz-|#&?AA$F-43;y@!5ST-b}en@vpMjGf34V2sGY+Uf1 zT}x(}ai4=$efA1y>{Re;Y-G4P#16U~CNqMoi<8%bz+6S1Uy^@%ThK;LE%l|9UpeL_ zjy28*h7}>ND|M3AqS~YBW&%mu8;H6?0!L9j|p?& z+rrukxChXJ3WMiGHtSo$&q#|vMy!lkk=P|+#jqNfw=#JM>W<0v?HTLXC_eDSxqe05 zif1K>Kq&}T9t&h-E*%R)KLQm(jWBl}5O>w8D#HPDPY!Zm-`>H zcZJAbE2|a8E_qId3B8JDlF16D1)MGk0pXMXrJY}3_#>`X4cGp;1ArFtG_CZkep?)^ z{^o&`?a;cZq(8J_ZyQ4SKfZT2@zz*WJdcI+@sF%!#Hu>XK>B6|!yn=doYe@xbq!iS zmX?QZ)JfiCFKM(mA+SQ3u~PV{r5@!Ajoq0RHiCb3pL=L$OqB=SI6O!q*!$d-t*0D% z&qU}h%MCozNfSxSW8{k>N4h{ap&>gjl~TBncyJ+d^a^(gC&&=dTVnE@hKsV1MZxOg z8CSU#e>3-9ml_|;Cu=SZgV&LH(xs6ihLbj~i(F&{$&z0O!8w<6nsLEroIiME%bs?8 z>R@}!$xU~k+^36<`!0HI9NC!I#@bqtwrO-l!An+_tkTVOFE&vO`s8IDaTp^0O$2Hn zNC$-WRXy`D!#TWL6j%A94nWql+!pAGIP0v8(DgE(aiOS65sWZuv7nxqFtVGzt#|&lMbkqjz2j;Xin3oq|s=w-8*Q!9fcT z+U1+ywbKWWv|a4iw4Lst!x9^5b)RfL(mucci1a92x^}{01!?=}+9wF@JYL~Pa$vz6 zC$tP9(H@1KN*(?YKNer*1uGET;;p?w<3$0hxLKpAB2QYPXBffUEDN-VC5`gYVv~Tc zO634EG^lhG$``!1A@Nq)^xgrAOWEVSxOgvYLTN7|AC@!L8i9xcuuWsC4i-0&Ug8T^ z>rNN}jTY$Tm!TBGd1=b<+e~eM?1Bo=H(g4~N#lVm*w8MsbZcgT{nzH$g^lm5lQlHF zFP}Yc2iXef@V*1=^Z#S}_wygNX~qa`^k`Aq)kn8D>h7I(h^0!)SXeji++i#cU+q16 z5Ig80Z;0v@`dW67NS%jH;v;I=A{m(-uv-jXt6F)`eO^K_m*R>L>mQm5p3(2nP+-P* z2@imu9{t>oPEOI=*(wB_nHSn^Hd9<|=*aM`xIyGQh8SCx4lg8v=3}lNgEA zDJ;hsYTDeG#1D~)2=-AtXj2R3y{%)qb!IBgQouY=JjSs)hcFTVII*H4DTP+TfNMNb znVnekPvQYz0qh|i-~pd^deYl6nV&5i11{-4Xl`2>eYZ+?16hsmJ1yPEOky8nKrZTb zYh|q-ZDl&QTa45?Y>|(et&@`4=rr8-R?!%H($;A>dEq{`*T8F}|S0U0` zQWDxNXk$fi-qLDOrOk;!2$D5K0TtLXE)T&Mh3t-&=_Zj*L3bw0{k^SB*#Xw1D6Mo& zLbe`_iAWwQRp}BMlip9_LQk;>4~dz3{)?YuPuY2ZY3|ibV33!}RBcZM8zuh|D)yj*4oLyv@o$YmrYdlSU6`rAEat9Z?<#x>|cW?(e zm3S5XFcR-W9O74Rr+a85-^Uvlv{PbXZ^VnIoO1j4a@$+qD*9Hy%L_oX0Nx>UkHxhKp zPq@kaKMauX@+2G@;9=Hso?waBD&x)|nz{?p!G(t?Eyt*&fmVFph`bdWgw+YL+EG02 z6F2CvM6@G3OGAXvV4b_K|01V0Y58BfbE~zdY}2!o^`XT5>E}DF2W9sS4m)X>!aT=%nbR{(h(uUl9}&;mr4!cVlnV+j3_0Ag=ar@Gp!6N*q*Dt0;;fN zr&R8=#A?ijFa&o1hZDPeeJD5k*(#b9##l_{0PdZa_u9W*{iGe-a+od*Ee1+%8yoQL zhUt&p+ExO?toUka9XZxPnX^5NSW_-b3L4-p?ZFfD?TT3T^oJZ!B39z^(v}BoXa!x{ z$jln|JJ?cXa@Q#95jj;FlUn~U;bi#(fMOl#LO@3ONWSDCt-Qbi`l+CjZ$hMPUi!Mi zr5}ivuZ&nx2KzlIn!C?_YBy-5w{D{%gilOf{BnoZeul{wT^YvP1iNpnVtFpIOPsC# z`JXSfd8{K3T-9gk38!Ye+pD{7I8NtyV?Tl`UHhSBRd~5c4T3+?vSdb%7!J`>47-AE9-rjkij$ma_@MoK^CqW(zk}7n@-eI%6}krk zds34Y5YyredXKVBau0{TGRed?KQ`E87aBz$i67;ZcFI)7juc=o%I1K(PTlq-XtE4s zLHTgoz^T}@N(`N_{%~OeoTpj1559a|zU29b*Kp4M>AQ>cTKd`^<KEwVUMBOXvWB zieZ|#(m~kDq*=%>e|q7D^uoKSay97Wmp}!=t11RJGT9H|)OA2t4a|TC-r?Nr} z2bRS><0Q;Rxxf0jl?5)rdx&pi!%hy&N^#@_M=tfXt1oY|gXneo{_M8S7PG%!KF3xu z%pOvv2kv{#G_3|vOlPokCrs%cMQRJcvqdzIl$3vNR2SSz>!fV*E3=teSyXz*WyE)$ zaf&TFHnn-2CNHo{!Po>-{N!i%AeJw}VqwkCa;Hm2NR?Tr-R1l45)Y9HT|3(HK!9*m z>Q*?NBCWKP35B)9(u|AvOzoZA&57G{Z4#G|X#~=xK(>rIsfd}SOs@~Jc94alYk0JO zeB(1V$J@xt0yY(7M~KJl><|l+#!wuyQUN;XQ>PqR8hy2FFvQqdJddh!lBLG#oFt%f zTjnYT|1FNPD6P9PQywd;#gaZ+r;!=T7T)+PgwTPX zL0B=2b!dZNnqX!eWS*R*qrj_c4m1>j_Zh?KO0k4VDlC<+WsRhe#*bsFo=W`^Ie3Zh z)3pADGy-#U1)nkowT;H?Ee?i#cJE2M{=*ISKOUYHClu61}s_N9@MhXpn~i)<4>a;|#Oq45eQ@n@(A zv5<%&-2)*0y+Q;4FnsPnsf^US7FKgIZ+P#Nbp)jsF$$zwD;X1PBVtzWp5XK{RkR?W zNE0MZe!|jT-W0fpg*X(I%}he8FwFrYok$j3hdEEb8DsWv{kln7yY&ph&#+qpm5r@a zJR*s?57zYI=ty5%#lx6y`}{WE*)Z!koASBt@fid599Wo27_1OfvI{Pu6B4b(Ww*sL zs4yLOa-iLrKAjP*w5F)8AmddGaO-L8wjK*~#cr_U!xtQU`j9i{_OeAVb|9@H@l`OS ztZ&`_mKVYl2kByE_1EvK@X(GBc_9wsNSKX)ymMKOC%ZVKxxzy4MYfin;TWm!Zd_^m z4;*d-%&wZbmdF^hN$c=uS7G&YXu&GJT8;;DHfSg zLvFI%Od1f_VhZ&uCe1RGh3QrOi@KdmtFUdIB`aSS!C%U9T*CMmN6v6(D3k{0>~4r zp2#scSpTcuK_lT2U)tH;`cBm-@AQWowDB@ldOA2^x98N_I-2tb(y2vKPVY z;s{;x_!{aq!ocC}}GOZ-K)lBkDH_jZ9T=Lv(S{$2SfLR$OCq zNtApnjQ0|vkVph!Cz&KE==HYy&-=^<=B;7GG7aBYPiDe>g{uHM%|X5@P=!NY^yHPG z3?jN58TJ&TcrOHr?&d0sv}UW!aIADbNA{90{Ct@K`Qf&o^@x4X``V*t(^y6L56NUT zqXSFaJFX@}EXyFCz>dk3BH$feCR;xTmhJdyW@Da#Gx2G47VxLhU+Fr>GyS}Hr@dTz z(S~rnSmm$@O2Krq^tSB60O8P6%(MHSx~L@>MK%TbRbxbwboR&?pm!6+ z=tKgqfX8p=+hqgb`ZJf7^wT()zs#|6#mbjt6-3PL>fl&btzIqJ-sN4lUU6^Q`qr$QCiYa<;gF6T4nZ5y2d(ms*`+`Zd%7S ziC@$-=dPDN2$Y7G<7q*8XB-(R&qXR%F!iOCp7#_c zm5%$2?VHl2002M$NklRsowrN2$S9*iACW^KPATTp3t0# zDoBOME@_l4d1-xVxq?8tPHPOjD=%71(pCXR4@fGi)GxcA&KO6AXgvpMI9-sv zVLi4Whir^;j^!FNiSkVgPD@;xd9H!7=cU4N!FTq5w*{t5Dt9>}U#mPRNa~{mO`VVm zq)`QLnB zUB9`N)-;$rsIyv;#1C9M%m#3#eo(G=p6eIv9GzX z2BDpT<#<5WOAyb?9T{AtYZ(I+0hK!PP5&5aryxmH2T{Gk4}N`)W!EXCIO>{?XZfVt zL_bzWbtk}In!po}SF^(uK~E)TED;6dL8yLIT&`YFVC7RE3VTqeLr`AOLmx}4oF>6r zx3eg({4DKNQHt-$Tx-9Ap^x0 z)GQhJT0c};BFY^~bK~TuwjLJ~m&e-GvO8)1MG$F4RQ}29(g`XDXbl0B2?!J1?d=*N z))s^)4%9o#>z^g8tM?l;d?gstlFA2r?ffb~={A$jGAT=zMSSA<6g(x zERv1?s?fTuj)T^RL^aToC zV%p-mMalkM9ALm+PU|=>YjK+Wv8kxpl@bN$6mu%AGiT7kMnI9%OxAx)OA3uaMTQ2f zVe1F)s0a!s_F)zG3Tx)s%$JXUAV5(~=yM6P@$)skG=)}%fNEwYj2FjOdI#s%eOAsLjZG#cQf8jKYK z9_6=2oDRprmV7Ob6_^5;cVr?3Q5MN7@DfmItCU?~DGL@wuPoy2;C6BWUJhubEBAQ$ zVY_(!%l5nD=hzBI{83n}ZA2=fum}k5E^G1_tu-AXQIZQRr&?fUY@WsU3k-bPeU=9L z@C`D4_x|ZO+j>fQ`J0Pv_tCu^C3cL-A2vs%+>QqpCYCsywB)?0vP8jhUvW%PJaA}l zm{kcjPAdq@49f9k4o0=C;0(QDNty>`q*mu+859C}o(VBReH)n}-^!`O#7N>HD#(jC zs7MsC#Nd4lfJEahg-+v09>T0TPk1Ga4A{U3C7=tikHJ@{!mV679J-Kt!#n>>3_ct} zL1lpe5=qio2~{N312Ht1Qsz=pNhl`g^O~VJW)_C zW0}C}S>ZTxw@YysPrE?k=D5Zxt^_MsUJjBwIGXKZ*u;-m=onV^v%byKk-vX_v#s16 zU{{0V@&10oPMd2C-p0Xnu`IHF1Rc`k(wo^COlNm;aDe6TOPOpHwT3JgTE2dX?+&3E z`#|Bv5`_*fG16s2d}1NXr74r7i9~C6yxY6hJ=stZD*Ta+LSunzW_y2=Qkm-K&ny0#~o`pP2%#E#xw+Mr1%lH(| ze*t3(({xJCiuDR|lCoAL9+nCr3F*MXLbH1UTt}5pG=h;WumX%!9JKAX}cP08+_`S3C>{D7%&(G?7j!1JYTE z&C?Rf)r=`QsLr6X%GfcMYVgRP#=y8K6S5$_>5{XRjaET1ykJ%Syaxx5h!_8KK~hFx z7M{ZJs{f+fql5COj1U97DPh6lx1Sk-9h-KyjSuf|kjHp?`jQC{*6EFNo}>suH*BN4Sltw@w&U? z?Y*UU+8c*ohbF_EO1{8$JRJ6leChutpZr*M>mN6Oq0!@gdML^xbn=~a6DF^6-}_Gx ze@gWKc^O~28t#2=sGGtzn}l194C5zp63&wWet&zJu@@1&ef63=lGfkuK0aYZB&`yN zNbM|Pg}oHWuPuSCS8XL$qAQ?eA!OqETN!qlgec-}r}tOlSPvrDy<{j32SFpG zKrr80=qtR+L-JbjtMUsAAmE&eN`aEI<4WQaxWG_rh!fqZ_#cU*aEPbUbvz0VB0QvH z`^A2o-2xxe+g~J45s zt*$D+Jn`_%e(=(QvCzs4gydGmru8F@czQj zQS;3s@cJEx62Z_{c;rdG0<{aFqRAMV&p?&zJwDnO?sd_Mr&W2vr!WC9{ECb3dkRSz zQC44FAd@E=(fG_Ev!;`t>CzG3?RZpNckbP9Zyq|={>$tCsXgVyZCGn3kV80>e^RhG zZ-qfi#1ed!57tp~(CZ>yzRj#P-NWfbI&0=6A7+{z_w^Vt_d)yUi;vhI{9Zfv`q_4R z--&kP$_*6Ci+1YWbF8&vb`MyEwz5|Dky-H9GR~%qY)&~n`!Y&#kp@cVO!Ip={RCyk zKL4E9^yzKRnq*e-j5*l?bFb2J97u3IMdOl=Fqg{i?2yXAXOUI;#iH^_ zgak>2N;gs{R1QTqmQR$4;|dBcp+0MINpLG6i9=I?@J)dT*NW)*H&tE;Cly+Xq%s0S zrI7)D%MjWKKs^l#GdAN=~99_!qSJrapN_8vz#Gx(eWtX(?^cCLx*0&OL(wT?NLA;FYw`_!1RU>kepvlhc^Fmlxp? zH#MFHjw)=y^9_XDz<0_H)kviv%g4IcsLmiidE1S#vN`US4i`MBJfyFDHX!20- z3@-&~<@6KFhjhzWtjq2^O2bh~RUVTW>9h*uw>l`PfE|SeJR3Qck`+NKOyvZ+r1M?n zVFeDqiK%Q|{rQJBx-`PUvZvX=aEQ~N**6LRTAQ9=?(PtCD>%UNyZ|3zBb9um?ccVC z#qsOfy*qcABw@=Gu^(Wt9+{eX{Jeet^G#My+;3-2pK8bUvCHb!AKLTBFWQN7Z!pM@ z%ft)Z7(x{23yR^ZH}F@!xdU$>%Eyt`A>`nNvlo1qwSE~}TgTSjHkPZSG;ApPkH7yc zmHPA)$G1IO#L@YATjDsqIcTXID6o$6JS2dBN{MMIws9<={4T@5LK=?+5%N22%hFC zrATAr&mV$G9!ez{`2z|l1G7r;MLj5{@O}EVGP(ot330c)p z;_zIR4rN82T1?^wD1;CO^6NO0Rl-5^VYXqJWKZ+eKAcc#@yH!!+Y+N}wRmJcIL>({ zA;O{(TVmGH4FVS&@1QG@wO3e4U;cES6szqRdvdKH^cQaZ!V&Q!ZQrhaGyrUbhtNeC zN+N{R18+C6sPyfl=dzXYoribZ22Pkhb?9}@KI9NgCJPR6kn0nKb^*&QWq`ISGY7U+ zs&Z1=h3wo(M(H$2%Uoqv?21PbU-;#c8mZ)0{>fW;fA)U*DPdp7sGp62kT`H za)_A7fTC+u4*ZNzCzN-@mp9pF+lCOjSmDCs+}n-U+LU*Bn>bY&D26-=pNdy1w?Hu; z;RzhRBoFXiW=ov&4=!<(*L3K)f$f3sLN^D|U(7#gFCM$U`7C2qFB#l+*Mqrs?(mtm zdHra+botA6^M`AkuyY_5+k%c}DjeuDwQqMjJbAEf-Mp#YzIL;{yE=cL%hMZ|hWa7)lU*n_qo$<5LtJ z`>*dj)&`y}wWrKX&e5gy3`}R7Cs0`H$#H-^tp<^iQNDBVbcXeRnQ52G;vhw7sQr~r z2u8S*`Xow*K|6npZGE$1WqeT?<(*&S8OQ&!KIyuUo>f=9GhI^%O$Sk3lw%rigI(<}xTYL0>(6PNr{ zh!U*Gsq!TsECiLOt+Q!tnH_8`;t`iL#UCmWf5PZT@sTSJNFnq=BvOX>Vc|Qoh|tV3 z&Y=~kI&(+P5gZ9UCosZ9xA5|v^H@u4BzX8Jvx}VSLrZ#a@3Hp7%^U6E+_RjDv%+R= zi__B_TCt)1?#Ns056^O#uRdD*Es3rSjLRbbKLt@gp}ey7nBR- zQQ5k@Mh@%W<8~n-BbFMmpbupL%a|rqnylM2Hddz!%gX3UI`**Ti$g2MK>+yj-`2(a ze$&8d&I77I)m+u4>#lpnvop7&tS(4&CV-Y0v-B_$PrzAW;C_W!PQ3cs zEaRI0&c0>y5P9e3J#81t(KUT4e476@$(qAK%CASi4WIJv@9-%5!x2Yn$6ZGjl0sUFz=|#5YX?bafi@B;1u`;9VGFMB%-ZK) zix^EUb{&T1(?e8DtD9;V->&e912PuhL15W!rRi0e_#=^*%rVazgDX;ryIE-o*;O$A zw9b?<6hr7D{C2lwvhjlw@vShOGD9WC=Szm@$*gmn<umvj592U~h zs+J7nJ8bgrj*UZ{Gx*K@ujnqccJ9zAW+6F=`G@Q6#=RSCIOy)EY|$|@gR&ZJ?_pW> z&kVMYKKrcAW7hx2bAN23IGUukR;s~O<*gpLH?^%a7kj)3F)(B?EMmaJVD=oSP zMpd9SWf>FA3Y9X`2vJ$&pbbmbZ+cJcv|A`SE#k6@t!7*yC_jN64hOpQO!wSyF8LU4>@ z_fs@LPPxtYI#t>f!LqpH!5DN}RyonAEHcKr1g>aO_=%?E-5{$Hra5W*FV{Zj0Ng7z zoM3rvFc^0`!70|iXDy-%+5PxE$KckJM{Rt^)>u(er`J$xblAv+jnFNYsd#e9D{)tS zgwF|;m&JZ5UraEDP`N6wchfy5uwGNfp3e z>t=6U|8XB>$uv$XfXWpZ#;Cj`EfvuqiLdnlkXTj-OkrbzjR#|Cb)(Q&h#W6)^0rM+ z1>laixfhS*F92$56o9oB#ww)N3zdPhT@ILADJN-dmr-6bte9VAe8z4;On>OR$ht=6 zf0$ZdZlB{-KgZU@%>T3>?%rwZcWhuXl2d4AQC7p7+k3}f$H#S%Ls$QnZD9WC&3|kg zSH{};ufA>vv8)CexA^GNr)_bv50jt63b7g-kBf^4%ymr6-9&qI6T1PsVU*qJuxuM5 zJv>f@cV*pDMraX#Eh&{&R*Q=+B$cxtD<*;ieWUA17b}}I;dA5#DmbDYesE7*Ct$LV znLL4LgWx($7Z{504hvV@lEQneBzU06fqwBMUB>DaI5gp`np`begmWaO=)jOSGK;Y< z;6^D3#~EQP7g`To6Ij_WInXXXxC~#G+Nsyhv_s1~+ZVSl!LOxua?3Gxq&?Zb`|eu% zj`6Ag_~(CM%|c)M^ulLt+u^Bpbko+1Z&C1c50f1;Gn1^07-S}r@$y*KHCCEtwaTC% zMaDtT%f`(zNI3p@3C`ZT(c)NJPXjc`BIxCfjImMPWg5LD+%lG!?0LrEyxqXrxf%9i zXZQgn<;h2`Qq{sjC+wOr@4 zy2ZCJUxchsakA@>w3Ut(2A~MC%8SbuH&Am=W_F7dNLy!x8{!MrK|*SHkmTR51?|$u z3IHn+vb9VhtUN~bA6M=zKs_Rm=7T6lkwVB7;M7`Lo2o+FVGm02Y$JM-5f(8>!5H9;&clyn)1Cj~hnA+DY};vU@UICpAX zVhmw+=_z9q_~x*N@Zv8wP%PtZ1IlV)kUf!Ro-_M1$x-SY`~H${AcuUet{-lH;8f(X z)$#V}$Dg+&XOD9b>1g|i3N(j{#o**fn`heHJmjP6y8J4P>DE(z+9|k8jp!`6W(3~(UD+J_^IDUa+cc&UI zDOKe57cz#n=49?5h-{K8FVwBcHY`Vsb!V(jTLGka&SdT-yn-M+hZv;E=yKg0|F!k1sP zZF_dNos1X4PRc>Ijl4iMU$@smKW0J%YJtCsz~k;|{E!JJUsO<4YSZyLRPcuIl*1xW z2IysjI&39XhM;3+60xV<&&`RiiJ=W`e0*b@W*eXtoJl=O&xwOsz6)`OS!p-tAGSL` zKINd3fp+x3Yi)A(mf&o|Dt#SJQ9hKJ&=lf{O0SMZ(V!2kGxEvj6jvfEAM&f0w)ejG z8~HFTdL?p!Y$y)^sPSj`0|;ftIGI2bz8M5|mmeXx$f%?W>CAG`3@LER*b)m{OCYpl z5Ecc&OT?0$h`xduWE@+FrY6B}yCVvcsnz5vJ#K=uIDu&tmC8ya>%CP9_?1NoA?eIF zm5;b?$mhMhFC{|-4RItMGb;#<<42}3KeG~?Wh&)pNL{wlGEDmT-uNL{@Zk~ElHRiO z+Vl#yW59NqoM>3XlCc%H3t+`{QEOUB1a^=C)CrE#vTPniAy%6Cb4#1~GJpzwme&IV zSzbWUJa2K`$cFaj{x@(=U1@*){Ij;q7R4W&`W@RsPqa(leB1WDev+f!$7t=pXfJ>@ zvSAzr=;_w+G$Rl3gf_JP9%`YbZOs4g7$axW|=5KNnRr;&gWnJy=~mFv+db?kTswvM^;H>R@)rZwjdb= zKz=<;Q*Ttf(7j?^7HKk_wID##&CpVcCJXiNk`|O>nyU zddh$@)U{;|%WDyBgeuO*f&5__Bi44XCqKJ^AP4TUp@nQ?TpB|vNl$;}r}+3SKB!RA zVDqAAnuxFBn811=cIh>7pF#vkajYT(KQPEcrJRg_W!~IJ50JDZrc(6cFyBx>{q1SD z-r*8Cdym()hEXCEI_M~ze5z<&M&hpY@uwh15|t^4kp;03rYs-hTVQ`7K*;I15Z|;Y zhVw=ykyZ!As`Q3h1#Pn`rSwR#_$^Dza0v}?aPT+nL7^3lC1e<6-eCmFC4?1k;fYfb zjvvw(Vw|uc6(gaPHA)M3S%M=D%B##b1%j!9&`n{`0exwqa3d%xV#nq9hH0v+?egNk3PpC@nqYuWkY;^W|-?8+lW=hiEsMd77Qz#h8-T7bF=T^UyBw$# zpec&Zeamwy4$ms9Y^5D5PAEVYIV-v_p}Y#qiH`whZRfB?R+MdKNLO$QwlWTE9BhBN zeV#@H_Y-DSKe_T%`{1qj=$5Z*Uw-si+j0Q6h68(X;6z5?-H^LG09UoHJlJrR#R3iC z|E~p+=PD0rq(;E%ROh7ZsTzYs_4YS#hJt!m>iDPyq2zLrq&Iv*~(;iGm?{4=2OTBdSP+ zCJ}sM9K>JWNCbm(uL8*fPZGW;k#c0P7G{I3Nu$V0d3E@~3zM2e#%K=bA}rlWE1+7z zzb+zm1qG%BMN7wj$4-1_H!R+Xq|qv{C0u6pzLiajCq8N@nt7Z8-9>T|D{71b9%7GpWpp`+rME~`|k3UcKWrGZF1xK_UWgeaPA))6mDjJBv!@_;$pDOpuMxIql_Dk zQ91P^l9x)YC|Xpz7h}wR^>}52kaB~2I&9*{$BUG&Xl4cKg7Z#*5m<7lnEa5B2hcih zRC|A;Xe4ixlLYlW)rQI=UilzjcJZ}39pAEnl)s_5%10&8kv@5XL>gDEEf7rCrQ?(M zrJ*80ZBry>^-&HT#9oKz`7+kfAj??h`^M>J%wc)B+{$SSTmg0rwJ)B0--bEq`u*R% z-*)4}bm5aP+D5v8JNE7meMh7%bw}Sr`y@S?(_c&)ibrTFrNBLM8f6BJBZribUoUAL z4CRA$!7>;w%?Ps_*{e^zc!>iJ%3C7a+n|7%_<=8gz!KvhZH8&YC3GRrL8mpjjAf%^ z+`vd!Coj48g>^d;6a^$4;|IUI?UIVK%*dZ_>$1+};(ev7cNsE(9=`3t>oNINYGH{V zd3qV1{jidN7Y<;01iUj|4j5)PN{C{MFv~IOT3+ke14;A)BFI~4GL0ZAa6Kggbde>Z z#P%iyqQ->ywurnAe|b%nEqs<^%nc7dDv!n4s7;-1HF;ub>IM{q$|dIMDbk5owJ zX&$vahq9_@f|IcdN39-}rwnq|BrT;vlM)lhu`Mpk*i&G!>9FX@!}_BEC?@@`&D+?~bD}Lid(n36*v|eA ztL^hE=i4Itd2iXewM`2Pe68?~m8>6K-;UyGKg2Y<<1?Ofs2Ivq1?0$q1MT7Shwb*= zpW4}j$J5<)>>?Y_NjqZmNdBZk8`#r{;-x%p8Dn9klS;Pkh1y-D%ZxI%dzG7a@I6a?P`}kztF~aZ*Mye z9gLAb#QIVj2Tf{sxEP?JjrfsMmCPq|C2wS@l$G)a%|t}n@zw^@G9b!azAO3iDUEAV z_{uUH2t3j|^Q`;{L$cOa-jG8IDye@%W7Cm|ul%aub3MsHeRW7{X&1{B%sG-+vMv`#5nqyDwG zaBy5dG0`qw{2J##oDE%OWai-H?9x1I*)IP4U3>E4SvxUsjH19pzAnfPpHfVDZ9%}X z^J#LB7g7+v6k1sb%1cU|M#1z6Pgm3vpY3W%9f3ig)X8u>&rl^6gkAWUlA4po3w=Ah z35jb&CnIEGorf>vY!5-1H4pxox3i9A(HV!t(%uTeJAds8xnSIt2q4HVvq~=AEUeN+ zW(}7Zyk6i)xOMm`Exwp8^cRQV%K1dq5;;zCQ# zwue%J5BM5NdTxVXa3sC~{`c~ADL(Qx0$+LX+jm0iFy@XZKJ@_P+1!B|8Wr9}n+i`R z0!(?JLNXN#DUv~VGd2cU@zTP@k(9!wa)Gq7d_@Ec?ed6u5N^jF~(BXRW7Ix#;TE{&_^ z)XZPQ3X4xB5QFe$l=ZBG~ZQEExO~av=I)%tA<0iH*!Psao*d)$j z5*vF5P*LN{eCzsc?aOb!YS*6r*!FS=faqu)I*|YUz3c6V`5W!Z!&|J0+lWUr z`#Q}ou;JW78yREQ3KlHOOHUfkL3tPA396j9vj9ocd=yPkrcAF(E64BP9Q4DN4aFx#A&8wI7naLI@8 z!&oGA7EyKLWt0^byDu|ll>u(lvz5rk6FXTg>iWHIb$|$KjneG{aB42fh7(q zlQl>Obcj-tY9?Eb`RerLK4}q4mm!Fxa3R2gJBX7*sd_bKGc-Bwp4Y0Zwc3#$R z5*RnJUxisV6>v|xT{8wErtxXTjXmtYq|#6Pk@ zl3u0L0`;;S5k~PK9wMzif$%-0Wh@yWp@9o{g~6O`0G#5s9J(9~uwT3zt_fq60nsJK zw$|wS=&Q7uJ-yeqxb+R=Q&c2d|7Dho%(3&NFwif|D$Av^JMC~q1YCoQmq;jywZ;*_vVlbJBviJ}5ZxH3XGZ@A?@aJ(#M z342AYU==bS@!-=l1|*0y_Jh>$Uoe)dvWz?uBesT(pYf7S)v4fMbTtjIt^1L`01#zy zhVIH^;*pL#2k3??%husQH6iK6AT%R?F*Ys80+jd2b=~~>6Jvx&!=QXqohmuTW1B2@@N zLVPPAX)-A3J>OJZ%bWNrGSW*qmow1JrKK<*zONWXtm?1(i#3u70xAl51O<}I0Abdk zet?HeDjP3S^1ql{OcuYw(R5mCqEX!l^EW!2Bv25(^Gh9#00iwMuw0JuIeKI|TXtxd ze9ceBiGWJh8@mq@0GiR&!+waxq$RWJUR+G+7%hYH?i4`W@zr%4H@4hnM^H|9UN@9k z9~FCuE~R_MkE)1JF1vOf=BV;L?W;>)w_jKbw|@Jk_GIxfm9Km78v1tVW2NSv9(w zS*)z$mT%y~;*pw*z?~xpZL&F{-7%Mtqt+QX)gKB)5E+B-SWymuyUj|U&Zst+N}J<; zzzttWZyLvo9E7$%qrQo?&$}o!x_LGzu9vGty$x6!{S_6%rCZ|aTgE%fmU4?r-Y1Lh&M3)^XWn;tR~U)8;`^67{uO$8QU~NR ztnTt3t2ZcAC~rhGEkf=}6PqHH2r6gI7tab3bmuCDltxURLf{Ipf}&(8;8bicQbfR_ z#5%;1FKXWf2nj z@;X;}9xJmuT@KT#XIuojd02(Qot-J7`1Bn@9$Cn`Z|m-MaQnWt&S`oo@fU2x zd*|tcwsGHjwoG}3&;3;l)yB|kj(sJIp)1(kdA(Vr?Qm_ z=Ee1^vXm#r@E`xhFZ7`N#^aOmYhJtP-;bAyFlF(PdtoS#+y$1D_f>Ki55fB}z!$)> zEIoxNjKDLV_ry(Jm7NIx3M21{@|z2e0vzb6FvKllNH`fT6oFRWW>jbls4Mk@ipNMmJnj@k5BWl;zP)ABlS9>IksODLm7jv!xlAEepE_UQ4?ZD95Fal6!ps-m&RUSb%9sQ=yPRXut`Y;xykhGT z#>(ho_R;0CyXaUs0@)cy|r;T26Ad(SG5UBom zZ&c-^LY0>&B*Ijhx%>fU;P76WMFE8tsCRMUN(>8|>^qpk6L$BNt|Uu9&q5L194VFp z1Qd7H9U~SW3|024Qu;&;VdsT0&A|8knHl6Wb!Fxa7qt05u8NR+tY^}}$&o-42A5$4 z=+~6>%8Y&u3k|yf&L!jx0vjhc0T>sC_pT~f2LPl}33(}D1CxK=SI(teN;qDH2#~my zR?T0hzNla-S>U9AC45>77EYl-CP+>j$H22QT=9w~Ttn1?NDPH6dsvfC5l5O9GbJQ# z5I{<}qCm(n0)shV-hj*RD~r!cpg=(f6}Z2drIe{cvtpDSQLzM|LgJkjfdUHC*(=MP zGKixjMfj@QibW-c$)9mR!d*w_0S>yl_j%gy@{9Ix=6>6kX8V#!x+Y@`2o3R-WjoeyVn%C#T^z{TLmmL9BLlgD5M?3G5X*xWnEAST=UUsf zXG7aQ%wef0z^iw!w_jKabaLNeXgSz6t=q^}DwFNmiwB5@HXUilI2Wg^e$!K#@D>+JqJvJlp9|dxfDnmM8Zt!#)j6X$akTiRE7nX z>A0k)@qJ94?qy&=;!s-4-@`{_eCDOqH)(CGNoN^~I=tptZuMXjk}!V+8$D)`dlwP? zm@f2HR-kQ+1L6ojusgV-;bq+3)uT~`n~%8iOa04!SV5ZyBSzVPu)-3Ckg8flQ-FxL z1X2|wVZKVd{11$ZRL{l{wtPv(S|UhgkI8u-Wgu$6g>VrF5J*9>Qgncf;DKxguNi<9 zmIR1$`wb4FndK#$U zK6#`)`~F#by7Y|hoYn`2uX3ch-(^l}fdE)v921X|ubntyq)Q3h0mfb2Rz&*SHFQ9l zTG}q&yV9oF{^an)w)O<8>Qi=ym^!qNZEjAs*(WpXZ$8yt;P&wC^{er{3%9YBa_EK& zy5UK5G%)Q3`CdNeOKISoqzxX(D>qhf(kKM{RpS61jKme5K_ji&U&lj@3kRjOvxO)W zWy49L$WIzJ7EMQX1BQ3JM^O?Dj7pBnS_28feQ%Cw#1aW!sR$!0j1#F0jVC3=x1glb zfd=U-pP(Iq7DZqKG;-B@&uEW?)$G(uQ;jotBV24dJRB?FQN98Dg)dIX3wtGj^MtZJ&R8 zzP)?$o%ZgLbM0?m|E)b=c!c}GSbOm7LA!k8n>MhM#jhLz_2AKy_T}yG+WZ(h9*|b+ zN;8@Prj+;x2S)0@_RT{ba9tTXc4;4V%nEuvDE|4r3-uxWBsX*SAx z+g7IKS{?)v0>QZ5+4R} zX30?8rFeJ-39yB5bTGS;z@Jam*Lc4ePl0e~L7g!0@F_>N56bo`V{(-#vr!gM8bQN* zO_^lzKNUC8qbhND6A3VbA)K2MPXx6j#tIhTJd>XHp$1;0mD}2BdNi0k$%A;^N-mY> zT}nkzlCr2~lpqj7*rx%8}D4nVMiUIk_yju{~; zk;c?mzP@;t1&#*UvG;H>mD(jn^>A{OXpYHj&C@nCuL~@H}MwRZ$A26 z8(*2@Z4mRU)#7etdO`+dcjl?m8&Mtpq^T?_kn^j%t_f+Z1AjKV-iXD*avwul<3XX zUof6ES+0Zf4knVsepU+ktx^<*52emi6rrb-ieb8ET&LI8c_GEE0+lD8Cn@|}Z>$f# z5KwXKY1JAkf#Rw0p+UA$S#q;JG4&A-rmWDT4c_WzktyJUMhKIh8wCO6T=~$161qKR z?Qy^x(#0M*fC^{W$pWUiDT^@vm!A;$0QSW1hNnlbi5Gq-g zE6`ZUDhMG8O;euy$C><&otq3gZ{s9vy3_pE{G~LNZi`k-I~P!Qpb{2BBXH*P8)#}Y zE1O6R5D}hpamjCbfbg7PghPZ_l|7^eb;or4?`O$x4(dXy9Nq~v%XLzC8?lD8U!#2ON)aK?`>cG;6 zF#>^plLdzi-@J==yhjPX40FP=h9h3b%!01Ax4F|UTz;v&%lfV9+xxtwfcdYJ?e@Rk z|Mxb2VTrey@@5F$>hSyDeb{z+ebgFngYeNUou2v|-ldcGYW0d*fr?Ey)xnrxAW?XT7^DLP<3=u2=Ko`sd;@>|c``RV>%ksq3)z$<(Tc{19>R>7yrB5n8=g*a0O zdb!b%6*SU^I{d4jP%c<9EEj-ICD+3_u;Bu@fg9^WMh;=P%BIexptzw$T^eW#X6eFH ze3ouFmzO6pc!8g73TA|ex3hEi4TGpD^Rk!>3YM|oQ;21fe6P%ta{h|>!0LIb0BT;R zMHW7IdKs?5y#Gvxb8%Ig&eoYXPh1_JP9h$iDfI;26;Nk1K?MY5{)xHh$)=b}QIxS> zc`KoZlCj#I;ck_H{U3xgY81UKkEA7Y6{jAO28*B3frj3)W_OE~V*EV~3 zsr~dPzu=uvNA1@i{DzkaUT9aIUgJA{wGgzHJ;-Y`fNWN3Wj6q!f5%4N$<;%8?1@_P z4^T=sGw*8@EBKs4c{W@+lXAJDQr4V z;u~PXBNqUhrtRvT?qRst3KL{lcTIKzw4BEaVchHBnT%LDgf+N%j{!`2P&La?O`Y;JxV%Mp&SuEGMlCZ(h+5CWq9>>;$(Mp$%Hj?JEO`3T?5xN-?FoO zdW-bJ=sTom;==PCUhv0@8`|x|2kreo|EB%-AO2%|Y2jk~V&^);Kb92myq-fRIt#g* zdd842pUt8-G~r@Qbd(nca_fGapmNN|Uzd14*V`}tpzU3M$SZ5s`6xqc|MP=?YkXUy z{rv48x1)`n_NU)pYZrMl<>DG|gJ3Rd#`!$%V7hKBcRkf9(#ARlhn%Qxom4GYAxin? zDWA~V&udj$3IhfnE$$7JdK#hf20sjL{?(+t{-(VQAR?)2{U^Z-|MQpH=5UU329@$F zn_8A%IITds4TPamfqccWz5n&)OYOZEf6<D`4%hWS&C+B+L7eoWF6%fisc<4jm`h#8|t|?(C5*t;0#mh97vzkReX5!E`*8 z#*R~KWHqWoDt}Gl8^nAakH=KWO7E?UmDYgeo@X&BTe<`pe?Cm>C!l5sS}YsQD)tE` zm?qD-KAmlgynVst0jD$j?e^GhdRqU*@NToc_VhDto)2NnFoc=5Vr7Dw;KXFD!%W6+ z39JT?W9F=U$oiPC^&Ikf^GO!5uJASDTP$aom_2DXH@|N;+V^dKl@|^2%9RHy+odP24&}-5` zwUzpJuE!plc8{;2V;$C($fK2B8fw`_(3?TgIGMHJQOwu{|EL}x!5_V-o7e~&?$FbG z#3N4kxVdp>`+mF6g4+9!9IQt4!-Ez?@8(G#9vPATu; z!U??NY9l7^`BJys^$MsDBmj|=%FA&IK;~cKd%~5@EK_<=CE0zjM8wdhbKbb>mAmDe&=m{!k-Nnr_^ z!6k)Z#6)^4vLq}gQCKRXgh-cWHeg2q&veVWrP6l>MK2Qf?wm=HH^F;;T5oU&J1Emq zFasQ^z?vRjjpzdt@?@U)q6IF*8D2TDeu)s+Ar%u4{`=yS3VgRHr zRXJn$>xU%Nqs=Iw37nE6((n)YjnJN>hJ|uE_Arw9pS9z~x9v;Hs#-7JZYf__egcONS{a%W@V!<^+Bv?N%&MIDh=> zG}Ui(E)4J$bj#kBOQ7ml2 zscoLGtuPj(_v9;*IIZzkTv6-Uq+eUc2&Anls> zW;*1u+{85WbEKW*2`_W^n}9B&oMvuE9!`B8jh-$Y84w~Hy%R>2!LQB@Fg9|fdo@*+ zQXA{MWhs4yGVIkjh^*w+M=Uk8xI-m%4PG@A+Qq_ERz$*-ZR;4n=`bN8FxRmIM|(mE zb{tFRP}J86T)yRXl9h;)JSaUj?J#|x07XE1aGUp{GiSv|aSvGra+l9~-MDuv%UE3f zKSp4;7fvWoFfnSO>hS9PUN!Rg06KCyuNqL~j!s}NdeT2B6kU+z4_H95o0obQW144! zX>Z9MF1vbbS=)#f!=`!bL znOt)mqP6Lei_j`Oj=^;d1#?Sa(!cW<1ylxayfDi?q*=*;kg zb1R)}=_ER5?%H{d5vo#{JQ!RD;aulY2x-zgkl$)$NT=u&!6>~ZqHG0U%7Gj3>?*)Y zkm?2xI%NeqdK|$ZUU|0w|2$GshcVfI-enTT$=M3j$_{nXggGT(=zY_9-}(amB?2UY zV%Or7Wpx3s!<}tgtdW}LZr07M2kqZKeZT$v8$Ty#UF92xe_`0S!{SnUO33RYOcVVv zCZ)`)oSE+$^Yt{m(&h}EW=9rn>#JOpWfCL#YHHvAT_3Pu{h7TC@?8sYEWbo91N@{D z`#4<}xO_z2Ab%W@idGd%h|*?NFesrMHIRJD$fJ#rUzOH#(%?x8?AZpK+HpwWR0w~u zFX^#0@>@=SJ0fcMDrhIwrQxfBeRWTaiGH2MO7I2VM(3+r-?jgD^Z{Q?zKT4v?aObz zZVPLx?c5|^!l8GmPDHLBox-<6PG5GZkCZ&}Z{(4K(rx8%+0!@+jxd=E^&ko$;lT!0 z05R!n%4WSIp~~fiOM^l5Asuy~k5Wd`!jKoen2-u%I-7K&4QWV)vb~N7Z~ZJd{==9s z(h)9q5lw?Hx8(8PzgWV&z*@B8f-p7r7a-tl&mjcCyIWq&ebFu=3~ zP0K#a2oP`>_--=7of8{y>IYfhrzs>g$BWfh)1yF0lecbJr$iSJ)bC(vZWwo zbL<7L@SavWb5QC6&8ZV)=c0BkVR?n^_VysG&xvNCYkGF&4}xlQb!gAR%JkR=ieCAE zI7}K(g=+42GR+ZbR6>~Xg>(@n}^@D z>HGKiTJl)CeEw3qaES-S>5=7?LfFK>roCY%qJ^F^B8!fc3g$I>)HjCuuXPKyY#@X@ zI;~<(p01!=hYAg3lXMDZG(&n|NY|G7 zkMTp>p?4|_yOmMp+dB%plOGm<0STKZV)GO{!x*nojOg7JGD_>P_E2QkUknieOFZ$2 zKaVw7&OB>$IPVpi(oWdw00z>uXcP=5z-7&kw8)Fj3YX$=*=ZpIH{-t@__(P$4GEBzG4>? zS@OHWI;*VJ4Y(Xi?z8(&?j5kz((<|VT)m@u!dJA<#(4sO*G+rl=7gIZ4$&w1p5*-O zJiR{jgmI2(2u#oHt+on&kDivd`-U0?(*Bq->E;hSKqfpKhI8_De_%WPyGuXQ{611ujK$vY+;7{@h`z2XD{fk+YT=Su^HC|K_PvnBM@sk zHo{CwmyqUoYcW>uT``t(Hw|C!qn-}@L-u~~WzXm@&DsYI-igv_u2Hpdh z8!O&;n52i~4b-$%9P^GR=|zvwAxNF_{_^6Rbi}gLqTY2>bp?^^X&5-wxuQNZ>JK$$((z_RdLRyY zDdRUb)!P?*2=(<#&$si7e2sa7iGS{r`ds3~7#~-WM|zgyJd$%tFO*k!B|x9ecq6KO zM1LNGM{i8!?z-d^3!P~*X(X7FWbmRn3ZF>>HvXp$qNCzh*)yy&J^0WcY5XMsq>S*$ zB~E+vr8?8tG@hG!NKrhlpG!P?Azfc_bBxPh;CVO73Ba+Th<7o+WQIhmA>cmm-$ z$b76!M^_emLoykDfrkyQZK|tY%b%LD0TNdP7p{~DR4_{Ym{znr>SPWLZ6&P) zC7a%9dEoddSDaA>l0~ZJdU;N{);J!y0B-c7h(vXAE3ZA6L-xR7gE1omW%HU~-YGuK zr27;T@{=rcu!5cW%4FYC;D;rMLT59~X&v5eAAIt=Hply}e)`J0JowDmk#{{QuM@5a z6M1Zt!iPMV2k)rT#K@yweS1Q^zY1OFp<62;d}h+O>=Z@E-rAhPG-Qu^%=E}Yk0a#` z+&VMlvK2l3N88pNy!Z?T@zp!pe5e%qsLNLl3A$mD!m87H5j%3Om4X{Syg6d~W1}$c zau9u-sB?YuR{J-4qFZ~L?E;@g%Xb*}UG+~%zs$|fEIT{H<2`zAyPWTVh-D+=EFaMx zsf6GS&S-=S(&eZ8A}Td0b5SZ+Q)&a1ET1{IjE-S)mnS_EuJv&d#vip*aHuEih8mLw zKZ&xD8eQv;(N))bpa3y#1gn09%U;clm_o7eZiaV`!0giW7;SuA)Vva#1Pb z6%!_B=to%{EEQWE-fiQUXl*&>YGSMuz!}I34k-=Hfm^syFUHCY{bWF_pk17(nUymh%q&|CBu&(vl0 zhO7z|X!L(j78HKz1L*3gMn|P5z0QU*wDCpf4&|ZBQC8mju8t)Y-vq|%vRZLxx|_J_ zh#dGy8mEp!f3Md<70pOMoHm-7W<>20z4{@tazu;ZzkpzKD{0a#}W01EXIUyhe!E|XOI!Cd+${3 zC};VU^EB8AxT&P+prt=ZQAe^9il7QApXoK$*L4TIv zq5C{%FhgTv$YUlWb`LsB~k9ehwGdeCT6@>nf zo=job6I3hOMC##gXM$eWYk1>46|M&zEDTSgP~Xl~)Oph5V`!22BG*D0q!2rBu^$Y? zJfy2@8!nx)SmLOjO9axOs|P!;Q!MAGjq7eFfsaP)cb|XMKKt@7?ai02wl`jQwH>gw z>YIIrhoAnc?eJZ+r&rhLiNX&iOeLDT)iok47$J=#N1NGV^^{QkSOoUgxDi|mUWlM1PUu6 z`2nN6a%C5(j$a6XXLxCAZO=gzuo0ff7p6EgBbZ7z&`_BgS)8Fqn&;Wb>#7NyOhow| zr_37!;*gZ_mToyX)Y2`lu6g0Dxd6GcA}bS0P0*SqaM{Cg-IQtphK-a77 zPx9;=Yp%wTllbMVdjdn8n#JQ);{)hk{5Tj-|r9Ep<@FU!^R{w4EDANG%_zA zDI;AT9CvsH_#pv&@7!ej@b0JWv(G+hKX~?~_STg*+S%+``;IaKn4A7jYi7j~>F?mj=74|E{BmAmBB=ct6(D2;BE2v5;f)vK~Ks*!*8 znkqmyUh~gkY*khmPkDW%Y?|p6{7cYk&{89!ooE|6Rn~OrmYu{K9%9$hrvD{Seru0g zI#2GDf{!R9t$n4x|W)_Yb)V$t2>px7)-eV z9%$)|R`WhC3K{9^=)D2}3t6^sAIPi(*IAQM>7z2h4f3Q6Wi2i(TZcgxDlv%3Ogc34 z7znzOl**42bbcz(qwpa;0b3brS4HCUkl_{ho-C6*GQIPZbagE)N~98kAoFt^brOeR zSu3WmCqnAr=sQ76I@|%9prx~G5W4ho=xJmqD!hMG1Z9svIYGLeTRi5Cs>v-zRaUUi>K}P-+tUSZ`^HftiRA^S>kcT+giUlyxsnTIW6Ab z+Agp0ifL}N7@V||>#pdGJ_O-OzOt)R81R?5D;TmTTehb6=6T9R z1@K$>!D}fatjx0yE7vpsV>x_D0ig(v+BvN<3QdDzo(>W;oTdi`y+SE|IkQXvqr=p9 zVN_l))N!zt_9ud|lu~hp({$NZI})lg8ZSbJEI8?U+Qn5^lbj!`4TVSZ;7Fyly!low z_>l+Cloegbe-v!0#$l*X_)573T{0+V5G!0AKs4c0j76l3EuG+jccoAUxs;!fmlw(w ztuCwcMA5Tl)nCb9^G(9kPaS#3bdqHVhgK}R;EIBNGZ!b`*jRb3V95-v1On%xtav@x zM;l&K#xA{ck5B$0moh*Is&9{>ulxv%r*mIq%jh-6q znq{Y62~;tA`JZL9t2XiY1fJj{_&Yo$d0}dXH?nePb>XP(?j5zAy}kA<%Nf=tmfO9V z?KZwX(LTQaO|y`DIMl(ePd<^Zi$C zQD6<|T2?G0OvPAW#iy^QhuM8qy39Hk0hcl)`U8~eL}nBhY9&JWF+UoBk-%sGNajE* zs1w0QlR@P1P^H+c*5Ubq^I3zhn$r1>&%O<*&xU9~)g>Ic&>-@8a zpnhuTCw8`e2p96>wG8v8{0Vg0TzkYAVI>tsANG-=tl0vus`LS4-)O4M2YAs9d+B)4 zwfs>Cfg_{5(&Lo{b*!!Ps%!$c4@Nryp4w^|V6B`6YL9xK_OziXoA5Xj0egwNtcN@y za7HiFXDGdqv^u-W$2wRLI|fGi%52qYcw*&3V~St_|R!?muK! z&hQ(xm42&^Fdr%ZJyTia`ENFG1U7!Fk0`H+SVKSHG-N28-Ufy9{4d9(i%h&BB0I=w zCj>evYkB>31ShOT5AEpP&$7yNIEQV&x%xQdl}gI`p3SM-CMSp3x}E zF>uO}CXuK-h&RYM1|#Vz$ZR7zX_QekWfj(C1_@O3q%4y_kuzbG1Aa7wvSMf4y7LGv zaJd^CEbA6y2dXzW5@L&?qAx3*Lx3{0C0#F;FAb&QNVBAJ{w7rg`VJwTZ^`X^}tuv26U^(DBp&Xa7Ve=h_b>)N_k|?Dmv%f$6wGob3X(_ z=8b$p!3CJE&L8Ivr4=c`54*@+<~_ruva46qI}b*-?gy!W^30Ye2M98CS-SN+>A?Hb zhqblbYw;<9lvjtl&gIRtHHLk@&BAGPA2dJZZLZGMYC~Vi<5!?jc6@At^<(+?35c9O z)3%YH;@V3+$hX!A^Xd#Uqru9Dj`nkto0PE}aM?tWqCmlObU?l~$s{%B0S8Fg?7dmU zt0z{S7>UPrvDw53lk6NCMx&u`9ta?2Q=e z6dTm*#*vrAyh&GCK$zt+lnLhEK>$(52cIMQ%3Rk&1MhXk7p+R65*}PBL;)PsL<{8Z z0eCB@SZPfc79_xcI>dw>5mjLG;&>7-oZ_giqNRpPC=S)G*cF9qxD^&U9xDv_!51*_ zpcHx+6+jf{F^-YI0BIEYjEY5pY#HjA#va$kkdjyK7{^`|e;P#xP_EDi$Us;+F}Gl3 z4S-y$hhaA%4ahaP)|SYEq4!8CEuKyY{M;{0X4iTG+; zo4&;7$DU;&CeCVaP6uXJ-=#cNZMyY9K|S|jYs-pHcyZ=g zSc9y*^2=s$fiA3uo-bWI;qzqgz3@(3Sy*m={Oc!eiyok_jydBM(_<_cb@>Qgmkb4+ z|8m6d#64*H8lsXxK1}0}t$bd6NIH70)9^X4Bcz+Wk9IN#-%33S!on&{uz48;6s(Pm zbtC$co^a?>eRTJW?UVA`R4BLoRdG zq+58Z({y`vdKFwXEg97-D4m&SS&!*yg(o;N+PG9Hl&eC@gWwVT2|5X0z{_!2V5lJl zWSPvBq%b(tjgI16o|RTbl16bHhiiCsB0MkoOTyqFA`-kD%=x)=xYQu_(WQI=VXX_Q zyMoJ8EA78s{rmR%(i=Raz1-e;?#H}G>tXxLqif7XF|keW%6T-&=5t`;mnC*3@~F`E z5aR>z)ujuB@j-sV6S|Y#3=1ts`7G8PWPmp-xLO)s%(%O|%iAsX+MBQZu)VzgT)Xz+ zpW4l%?Y4a7JP%s$=0mb;i)-x}K1#c>vy+FUaRhMV^&EV^m^ghtu=;B9j(;0Wfzqb6 zUP^wfhV}Ex>p?zwO@Sm-uK%sb!xmo=JMs)SUBsYY#(&XMOi2+nrw>)IGd+n#eSlLh z>Mv$3`nrg8{He@P&p&bUdm=w`kHWbDBc9|ZtqKi+MILcNk#K~!6f3^qvg(!PQD+)_ z=iO4-fR|6v70Nma+kY8~a;~hbP4rg)@=$OTDRq?&4EVw|7u8a9#an^hoNGXmFe!{~LJ-PVXOuRA)jUTDSUj2><8zX( zC@uU6!y%2Y7*VWU4E9p4wjARqrLm(}LQHCGe+pB3;WOgtSC#|H&cB1ihzmW{2}USm#>+ zdlm`C0;5Ce$9}e9G61eI^ejT1DgF1TR!=ZE8O)fx=cRy0JTSb+uxbBbqwO)zbKs9> z5_!Opm-}tCSDt>Uy}0;PTR!r`8LeHMnrkmEUv6JL{A+@unvk}c9%4^*k#u371*Z}>#F`e60aX;6B;b0Y>*t*CDEXc_OavLQRMWb&tLyp+uu59pMCz9_VRNt=9NBv;W`iY)2KM9uIjaI z=;M#s3|6H1jAeM|7#L-ObL($>D1L{m+QNeV<2*YT$`b-X3O;>Ef^3%0+>dG5B%)5y zi1mbHbSzT;0>|K7-yy+yueym**XD;uePF=Y%Xl4DMI;&Y8+`IAc@APJ=D<#xJ%2kr z>I!0`{MZEl)V>k5=Tvby<0n2d`5kA6O+Xyw`6+A7T z2!a#FLP1!Gi9|<~st5B)C%c@GJkM=ibs{o`F}RV^O@k_=!v~RQ94G2zXTlZeQh-12 zf>@*`^(@gHB1xC0ZnN%2q!S^^UcCTX!!;NdQSGXOJZqAsdy@9m3b|p z&d{&?)16VilCjFf)_@vkkD!hFMuwxqTBv9_j2d~ADU}=}m_Ol4|AW)E&$Eb27gpLK zZy4R!+U1d*>GqS?-)^THd3_r;@6 zA;6_?Wvlv9=N-q8MPgFopCs}pzh;LQ&g<%l(xjEIl7@hIKfEK0oAB&8l_zC{StZs7 z%MXiA2m>mu$28QBIw4J6ke62^r=Y|fnt{!z>{wX^%SXE2%tOQ zQ%52VyTOaGsL1l*@Fs?gte_)^Ih?Z>m@dC_MfocMQ*lYiT{&=)1x?ZG`q)I;%0qc& z@=}e+WcX1Zb_p2o=v)~-lTB{$h*1;UUtu*D864UD(8V#~%U21Xu~M8kN|2i1O`PAi z?^kZLr4`mNf&1R}gZAb1uW%K7$cM7Cj#S(+a&)f~m&11Eqf_KXcM~j%O%E7fvpJD< z?Nz~5x#&^yoT?3jTt`m;K!Hs;^^^2lxxxr^v7%M#g|@b>*pCJVi%tiBpOp1dMc1hZ#?P$HuL3=QZ%o8&(mSkp6TtG23RV+ zHsM@1F|DeIY1S$6Xmctv(N7zTPjYqw2Hk~*mlRfi;VaQHiIjt?6%?G+^Fac#)N9e< z+;SlDARW`KSEcjHE5Z<$Rq|8TQpr}Uq~jgQgJ3tbS(u4%C8UHgT^H7h}&uliAHg@a>ZOKtBxjcwnE^kLl)BuwiH;4-3nI) z0Q12MQR*N*Q01cl7263_#iC*`scerdJuPUAmB{mFwmXIJ0R*rePyT@DB!|V@n(gRu4&;P zP0L@&;(4*91#p#IksJMpM#Cw;LD7JwN#sl%o@EZuBYToa)v%e5(mQ32yfSd{Brn2? zVd!~yk(bdat3>iwcw=(V+nA(Ox7DCO8?@>PpYYMu!#v`$|J*nWFQ4UW(Z6h89DduT zo|<7XChtnMJKuwrx>K|Ifr}{J!dui09K1yJ@`fKIz^W%1G6<1wZ#=S)o=U5r5thuz zfiHkW{JDn;@2(|OEr;|lH~8SJU(SB_jknv_=5hPzqigMj*IsL@OKW*wmeUWm5y2@R zq2@JIr9)cki6>kKRG%;lIXHAU;ANOZ<*=-m+IA>c1*|*hQ@PN$yqCQI6M42OBXVW0 zO@9Ukd=8xBAA*r)fT-m<#dDPqqL&FTRaeX_8$8C`g#s5m1Dg$A`k5t47V>-(u%>iw z(+Xol84pB{7Ol>J9;`g#v$UJo3#Z3~EfnMk!mWH-TS}|T0pMgV0M}7$Q+->5l(PCA zV0|SU=TRo)Dk@6c$_0SCT!Tm{Q1S0mOM7328b*eA{RrwzxJy;yzi==6`WzGreC~0n_ay$%eaZWmSthPRTIHI~+?N=0^>B3wrmlAK%h2GtP_az-j6tFk z??=4oj*m7R&F;1Dcy96B_V1znCb?F=oR_l0yLKgF zlLwCx2sx?X`kDTpUQqWT?PD6i{)v$voAUG1kFES}>LdXvSCaC&@KusLiAr2m#z}m8 zJ<+@kFgTK<-&nV;%feDL^z6C8n|wt=Fa34f6$OZp?|fP8hmOqFPNAP{c}HL3?L6ug zqw>&4L&3xUz?mOoJk=XG9iAoRGnonR|g|hMl=BPW})KfKQNC@iMLUm62cgR!{!Omwq`SWuzZi zq4-gj6gJCqO>`L?Dir|u^sI1Pdwsd9$}!MZM9;x-lKb`03_n5WMGRYxTRpQlj?R<7 zCo7#Ua-H}!2{2c$ST8dlP%T1j_b%HMAGWXsB}&m1?~X~pauxZ>ER*iMTYj72)da7t zIh%t&Uca?)eyMFS_ho=^cz49|fmBYAt2!NCT}i35hRu;}=zZ&SY1m{n$|_rP6<*T- zhFSPUPtlva2cPx?KNZNQH}pJLie(NE{TyQF{e9-HfSuv33@^O&avMLl)DHHK+8iI= z$j3UwW#94uMli*^&tk=qg4kFopa%zonC_XXkI? z>=v@px&;>wWksc~=_>})+i?FYW8kyneKh<&&mx=E*Cb@BEw(h#NSq{%0)nm|2|XgQ!P5 zuER$@R$9BmyRhb`iL|^MFq$qVGTN{rd80zTWf_Bwk+`7|%?it=9#hkhKNXZqPJ<6N z{o|iJ8L-TR8+9eWLa7gd z!71+WkQA;%P%tFXhqYmn#jnlyRdGs`%odeqEkO}w*%2&Bk9?F#BPU69m0z%dzkET& z%4s=ev!V{9w5;dFGnvBji@%eT9+s6ck5kAra_PJl64cgD-1Vgpm`!o=1%zQ?{6k{W zgsrZ<9iTtJage-1(wyv0^oN4832K0P*)hKDI zdQt|B3qMj(hV1Ik78k9C8LlB-yi!cP<<6=+ciFRqfyPA|;xI^0S|N!X_DoJ$Bs$Kc zCv9vG7#Rq~ZZC%|Xo+-(d|Jf2!VX7$@0YNH?Gwa+C0<%04&yS4F)FY+SGxFwj|>?+ z`AyhxkReaUL^o|7EpV+F(zgz|;6DySkxalRcCWam_m@CTzQYh5xxmU^ zsYImv4zWpl7!X!JaF?}I#{`QLSIZNS$9eU1hI}l25Z>XmR1M;Ez)F9} zY{m8}m{V*lUH!%s<*I;_?8u&*GF%Q*E+s4Qv47#O9+%qaTg2~I;1qdumL&NQ*0KXk zl{3vh>zaXH7c_Vbyd^WN2VsOB@k_bbD*1&^D*DWTJpnBVq~qtl3{oG^Q`EDd;VA!l z!JLB|p9rl1q3NA}>AHD{E_Glolqd7s;KxcT4VN@Cz(QCgQew2KG#1KR17(oxq9^e# zV9E@F0nN27ah}u5|U;A zR5+JtP>b3)9~wA0@OC?*FuYR{I--xR*yRi&@%ZQwWoq?0l@2G&C&VKCNJtp8Rgrtp zOC$KeJDekHWJnRJy0FTGq8zFkcw}NYS5?6ijLHwno>mPdvPvxY&@uQZor=L#f{KB~ zEsX-X!%!s2p`|=Jk3(~H504_05PGgTC1e0iDyT-a2V-T{Qe0BgIcj5iO<9dE24Hkb zYIhb*a+mYuNL^4I-Sboq`qy_1d2I5Kld`k8q7SmB=fZ)Gs*+90S8 z__q$Y7mN5AW#vcvcdc@6c_oo=)l5#(z=gh(M&1zEwEs{hI^jC&4dJE&P~k~K3og?# z)D_X7dr{-FgD;6sJ_lb6FUqM>+0-{!z@^=#BcEh+{K7{Bcqmy+DIhI1@GDRn3UP8C zsllOtJB{I}K%Sxl@}(aB@i80R1W(Jc55d0tUHbBc-bIQm=373aJy@CaH6>?$`UxYE zFE22mqiPC>Sy{rflCdGQjxAuRA$W@+ke7&6xnx>h*>X%eFGOyw;Fa-m2Bi%R@}LSb z%HkxM^v5%-Shkc#Sp2Zf@_5E6^9_eM=m8%Q6*jmSZHeC(wz=rfRn|UbZ_sqbx4o?? z98&sY+-@JEbzS5Ic(Y5hnUi_A@sKiS zIn)`MI*WQ#ukK0ZXzxJdRFAKm-`G9osSG5)H%4ScVZRmv>rf#i%e zM&VJ~+BR6BBg^2$^hr^K!LcmI$H7?beb{Dr74zvMR)Wv?s_W^tcf5^$d^XU#Z{ULK`mjA94k2b)>uxuqw_dD)FNAg0qHD>FskTfRVm@e-kXwBhSVN#E7;2T@&0i; zw|u_6bO3EYlE3lAc5(Jxd-cjI?b|PJvc^i^;WLrC$! ziCWIjgkpo#fd>-ecjzj`jMu1upUGR*Cu(BQ2sr1X91|>Ffp+1CC=;6>N{hyD-9lQ9KdAqlF+9 zIHM!T`BOF3+fpD6?~u~rhBQ*f6P=Cmx3BO8DnZA7{1lFTVdVl}J6U06@^l`bc*K`^ zH<>`a{oo$GGG1UO57JOra7P}9$zGy3mBAXDzl2?IpF!faZMUzJby3mmU?N#H^TdmLG@9bOGmK2l?4l7LRF zxA2$XG0D5mciZQ;zG^>u_U(50Y^{BN?>=wnqztyVg*M=)dPX_;f#um@CBxn`doR2N zRT(oERnkD9Dv%XP1=eeS;2$>R83k1t{u|6q*O}|%t@Na6gcb>+KfC&Ndu8e6wtlwI z=3jiPZJus5ZdS4a8W`oLvW~Gh*4Jf=RjSUXs$Ung8h>>9nquHYzBoXXKi=xfsgL1A zZ`Mr3BR%0bG6+W+5LQ~Vsa@a;DpIY${0FEiyL@;~A<1zx+cw{xSFI2iTZ)k9jTkb4 z)ekFZSw0l`SN`FAu-_@e?4) zj?Y+LY+TBKXB<7t%M`&>_AJ$j*Sx?ecwL2~Oy7Vz!H=BOv7jq|w-+}AYPr*vd6rN^ z*@8$v=toEp6zOzSvSGB;P^~7FiE@zvY!b~5VvvBGUyfXc&r(}jQ-m_f6~$Q>gaU>Y z_UbFIrp0w8AUeadXwMN?o}y*tQAphck^yLcW$|@Ew8q1R$X6z zbhqsieCDR-+C|Fniq7_sXUC3q_S!ScYi((MvE6)dw_RFTX_t5o?*9J6w)J2WIjJx> z$^pFyTVjVd@}EgxbpWsFLE&WCVl0XPqt0{|Ws4C>L$Mf8qs8Rl!8w6UATyoJl#3Hl zmcV3-l(7s163n?YTPJsT;!nk?Nw?CuXI_`BSe{M>{6o(b=40s3*S0tevLT@mu)shs zJu&1=6@cG37a$*e{YPvz)qe8)J3NxJ)HWX7Bw$gFo)dkG$Q%QTN?cKbM=;=I&S}^a zQy+e`VvH}bS_ySNTVunf&Zy3+s=x!i*tmUg;%^2u(n6Nw{@!j|nOkTt@fgqrz8o}1 z4{dg0w!L-nYWw!~U3yM7qVxs{Tn;<*O=Ue}#sA_AUmHRf%IuI&e^*xbdM**(y{1S_ zDAz>06*D;CIp~1VX4M7IUNxgDZhHsmX+Se}1k4_ncw@6JD_%re`2;y7Gbdus)(@G2 zz#mfQEHY%H({Q0|?4y#&*TX_CJS2b#5(a>bJY1!mMS&(iGI`!{h$S%OP(OT z<@gwnc*8$VtDUuN(I|dwR3%ib+uq)5ZJD0i0R-tuI$k!| zOsSA4TfwT^Uy3WINhC(0I%-VjP%@(Nk0KK`y9Rr848CYJdbHB0NYac<>OegLI~d3y zpPp%W;0_-8iMB119xJH9na0Gj>YLzGdRORIgD+@kt`c3&JD2LXa*hk>Isz$ z7P4m{&zj6B4TmAsqXZ_Z3Ncw@gC4#q3#rf?b+!0_LwE|Lew9NdQ~CxD5e&gUI@MWH zUfRNN!3Jb_R)-lnR&sP{0Xrj^hKLl23T(i6`pAc9QN$_bl@ zLQ8FHL?sCfZMbENWzsO9!~j+eLRrZ*ba3+DUmn+ycIe|6_HO9DFY$$#^L*ZFk>1wV zUwziDee_ZL`MW=DPhYykV<6*g^TBu5}T|RlL-R0q4#)Z@b*YEIb9M3Y!W1i!pw^Bj7 zE5MvM1`;V-4pf}ugS0NyDgtXBd*-VsQ!O?sfcK${dP8A2s~(*q&@$g3U<+%aYNG<8 zw>Yi6C6gVA@ppEBdn%bCpsa4-rrnjoKoy>$Z%@*P&@=3a+|>)s zuqmbRCU{4(@Xtl}1Ly=^-ti>-?Dock_Vw*=+xhc+qY+A*d)w{WSAXF%g7&Uh%!>ZK z8!Hd?*VNrp7caDrzWl6x`t>($cYZJ5Z*E=qMDY8FL6>WkWWHCUIRTydUOHt9FPt(Qil{owvY|ab<7IVG_JZim$>OkO-dBg-_nec>`PMTp+T5rmx zFy(M)b?$nlxtT@Y%1Ly`-wt`Od56iN55D~ad6Vt+tFO2F+Y4=DZzq*m+uJ*|Cn2p2 zKj8z8!gG3Iz2e5Vwq*X}7zwt@{(+uKcRj(_dJ&wqaQyLR+whqo%c z%6qLoZts8lVSDQb@9^&R!z>&=!*{$vv(K9tzPbH<`*Qos_Suc^+tj($Ha*V+(8mn_ z`B@p#JLOm&4qKd`*=V67Zxm%23+(8qctY`kO!DrRsFlkdw*#gsRs{n^Wbn*zltK)P zY4Fg$D%ZvVN8Z}B1!-LeoN%o_sSRx|YUtpL76}|_32a@zg~vqk*WFv`y19ZqRnu${ zRB~umuS?p}62S5t^RM{Ix#d!@2b6v(cY@~bWmRTS6bmFll;h@T610Ha)B;vkNF#=9Q=bHY6geF(^(XK?sdn39pgWv?wF&%5VunPIpWU zPCyA!8Adojpj5{9&YUf_y%~ErZfo>>&aa=Z$0b-TZLjKx_WpoYbQ}lRAn-iowI|DK z>zSz6zPG;nzTLimuf6@wJMF74zl^xgz4B6hdY#qSCrrFQ+S+XEJg7U%{z z1R!;#j!Ab8DJ_b|0h#=13$gu;6RRuA1=b)0d{NZ_>@7J*MW2K6C-jQP@?2%xy=%6wh8uE3jb3=-JqCizSl!@?5v zpz>ytoM5G_w)zIf6I!8h)?%fVw?UD1=iBoM0%XadKB_lGKRB|CvkD*REY3{>uy-SD(8~&x%Hl zyULTuFa>?ZpaeS8Hf1|+wDhooo_Hm*fx>+G23|Ou`?^*>WirbK4gUq{WY)lGVK7lX z1-TMWhT;}5*pm|ta+wXjDvkd+=~v*ezNH5Uy?6)51Y2mzQZ|pknI7s%hb}PkE3X3k zltSQul_!-}b}-pA>2{=?qJiOxGtR*kJphuU(559l7R-5gCeN(`kJZT$1F!~fY4u!r zfJ3e#MAAW*D+&ZkET+{9{5Uh(xlxtmoIj0)=wfA8wmhp=Xb{A7l+kr26C(kH;V+{0 z^5U>o7L2gkO|upa=Nr88H^n^CG*9Huuru}ECTC~c>{FN8#_k3!n~uOkx7(Y1&We_f z`H9RwXb2|QKl}W%Hp^FjKmF@p+Z#W46Dm|P=h*DQxAyUgW7zkyV~N<|_Y6cjl>)I61JX9O}52*T4oUEXVv!?`7$f=r~&dgCxC7 z9m+Pz9#?udl=SCZp*>uCYJTTXU3w=~TO1woW(Gcob;df>W7iJQC7*(37FBYmRXlzd z@sw}-*#j^L4;90sdeJY^1<_$f_E&rr<54ex2jHcd0BnE{U*wRlKBsx@i?4&x5s&9s zi{+fx4CUfSrFXN)+)BeF(gmH}t*8oV&5K{~yJ)S>ccvE{}B^sMwSmpW$8Og!-cj>2gh zL59sde@PECQ0PbK!1KTACr8B>+6tO71(CoIX#TNvfKSJRISaNl zlokyW+P#uhK)~a40_0h|6ctuxRl26FO(t?@Cc+_v`4&{89?)kQK*e`w?ojqX&>&7v z2_#tJZqPAZ`i*-J+8hqJFu`OnjycyfqP zWsbq!9Us*h2yJl0=sZUz*F&`IlyY1H20m$7!Pq(XHa{*D+*909JSNR|VU6+r^GSMZ zPO_g&9n<3?c(EQ}`~YZdm7td|N@I8AHdv{aayBY7)!zA>upYh0w;n-xj{1x61pqxG zxdBVnCUB94uE*Ie=O*s-#HsY-Oce-s$Q@zX_pYW0eSg@@_*^?ecjGi@hxDq3cY~#K z!Ofd=p4F{Nm#wf?m84diONW~rRG=(`4Don#f@1iltix4xs_~{0(CGOv992eH_E>>I z1cE7J$)5^|u*wkeBDZputO+6kM-ToWluPwgAmLrSDNnNK#XDdTz7hjPp3I_C0JTAe z=cJ^em6M_O7(OjqK5b)?9+So~QH8k;hrlaKC59hPZTtpal_3q7w6SAk!Y{-dM5gO6 zT!x-TB`>u^wsmFlCbJlj`69POQiLkzP$a=4iZxRKJ@P+ibx}-&)k?QY<#zc9UUm?L zKN+={^2uk`g?LVy9O(E<-0^#6^c=nS8OimrfBzLJ<; z5EU$w?-pg#d9{PPx{;1YwdkBwX{C&eLAb0+5SVTkVIOal!?9dL=RHuyWIYR{6iVPT zAD$Ah&{ryKat#zH)iTm{7{PIzt`z-U48!NTR62TeF`sw8=&x|lN5l<{1T1hi93J~%W;1r?Q% z^vP4^JqaAvmvLmWS4hEjz+KPi5sWa$p1~X?i|6#3pq+|~Tn4!43;8masl8>HvURlA zj1}zV0V|hQw)i{$vtmD4@Fhvg8st2)H<+oH;BuIvU0^S{{^QI)@DG8`a`|;wiHfss z*r>PCVinAPi==$j?ReKf0iFz&(v>RqG>`;$aWT~Wx`SFa5#JDG1au#~mO7B>_T;I{S?OeC-JC6i0j^r~nb+tT^WJ8bN4 z=asgzyWG9nW2lIe`Z~F1d!X6h-EWUhHhFjVLEFU3rjTj|C)#8Ywbyc`BDHz6ohxsuXkGn9k<^K}G8{HdbY$2Qwvhlbn5@*~kWO&T znerF@VCP)e(xiH#cSxGFqgQn+_SjX`K+{XHb1u^013m#k+U&{`DjWq;#ht7SRT;Cy zPDa^yB)J*s09Zz!)uz?~v8J;V2zVcmil3h-9hGGc3q~!gho=6>i_D7y{SCoW*~FVb zio$qX1L~lKQgNAwitt8!l4;3uqRJv@#FT1;Ow^R=fibagUR}RZv(7AWO>KJUg@y)> z2wg}k%2-=rG2jZ_`#D%}0)C6-2H)Mf;cO~%OczU_Jfejy6l-yyg$|8kMxqIUyt-oM>84!GUz zIDuFD+ABMmn`(!=F6D%I5H0blh9kqC!qgOW!LN~QfrEmwDK0uroFunBKel2DYnt>qT87R=wr>9nV-X%!3BrJ- zhvIMtDrtG;DHxH7{5YZT;F_XGI>yZiUYtfb=L4zqOn_^viCRLQ9*GFbrK>{aPOC`W zqpyS)E_E0yl$BJTxc12I&vRSh++_@$Hn2!Ju@m}T!;#ybv3W7WKu#Lj#7jPS?4605 z+NGM<fS8So>2e^ zrb^H2h-{jCM?Z2?I0HdqDm8%GRvYElfo;e#97Qe-DvTITc;rZbdAtNiT3}|cAWBak zS)Kc=x(jeGD{IyB?!1VRa{7z3v1y17QGiLaT%+e99$lZJxWyg)q1W z`NM)}IZ2Nl1P0~UCOE;xwGDP2%`q*JQ3tUl6hCQ+d@>8;qo71aqMgWr(HTlGBjK=t zJX{DM4CTFMPrT$hM97vA6>Ll9sB4xAVs)fYl;JajK1#Ds?`MVitaWZrGsWBPFEP z1n`@RCg1SjIk2AB@(r)qG>foYOmYLpkeH__$nsH~_$ok|dkq{R8#??miWAtmub^$Y z ziLHif=4(sPQB3=5$CL%$yl~68bxE6_riT~~;-BJAu*dn-rM{6RM`A)Zsjtc=|7^q2 zAm%pomXMsX+S79Kp>K5?ah~C{<=uthIC|3xdQ6bB5}4mT<58Ju5fL~Zv2?+8)vlx3rv-VmO%P$l^(+&sPW0|=uu`2Du$?fOy0Ea& zR?imcoyqTbdq7LMb-;%bwi#Z5dm4*R%{v4}469wpDa`3E4;O%~*pXMM>P`Q`}e zrVg{NP<+^qkIr)~FIHgraY3y7bo`hqYOyR8Q%dsa#JzM4UiOmG2nB!rvy5(=(%73K z*$h~o%SQzyg9^RT1h0}Hb`YljQg^vF9j_>#3Z5XUjMxV53^M*Oe|(QJHuMk+O>tDu zNn8;nc!!=$J)UK-GEaiFLrKd1OCjm#X9l85mzRz+u*yQPrAQhL@yG`{3`%=n=pZ+r zfVZUA;t1}*O1=juL83D%3r80w%X7V11Kg1&#SOYPnQ321Hehuo0gE!^AO)ZX#k`yg zVZ(q~NtL+j7Q+8zKv*6V8Oh7DWu{|{7#nF_)TTmmXNBPCdx!RMs7F-1Ia;q?$;D=Y2%`y;Qb5H~Y9dzSs+)j;Ta=__69KxUQi1{841r9-$m%o7b1g~W4W#g%kWQMn z{Nl|}lXne|>;@w%P_@?T9Onl|RWS3d=&o@iNc#{m>)!SlCi@AjpS}8SJJ~*Jzxvb1 zZHBjV^1bBrgk8&&b^+Y>I?~W#SRY49?@Bw9S9g-Dcj5~SQ<0I!fR%H3Q&xLok(u6F z*2*X&cEuMA9?F&Ag%b27%jQEImWTi0fAoxe@}Lb_Tvz(^$pHN=xP=$D0h^oBxNoEtg9q0i9T`dILjmW2jHonX<9|;s6uS5J=Bl-!cMN zo}V@{FdOU~UglLuY1Vz5O!_2wmDeEq#!ZpAaZ_*IYuth%rCcq*~^~NB_ z`2dwSjz&ckbZ$ceQ3A}n$k4(`)FaJw;}AOjvmCpGEmxc9*}a6AMplKEH>hZ6O_$Dy zIR-194SSY=^33W5zG%YTAA-p->u9R76K$Daaz=oq`8<%jmwBbL%so+I7{+-Q(FyBg z)=^DNmxIqi+j8RsHD8PCB?k20@ zH}-dGv7JFDR(tGdk=BZd>;`&0yo~43YNUF!E<5ydw?%o;L+)H!2B*w$NgTkX4F7df z9l0tK_{dMINS+l*4X68$5`7)eA$su{L!Aj9%y}wtCvUGTY~%)8qF0kFT}a3#+*!>k4vvK(3KHJ(*@0Jwfl6VSEA`g+oTUcTWYQtg=Yk zN5LX%^7SKD2v`Rjun@IOg~ZM&RyxRR$eX*oY2uuut$y@P*#}Ogp%~awSnHP z{gfOKnTyTMPC~|LFr3Rr2QtaVSurBJdX-+$AD~Dr!j+)H5DF$_-e9Ey2YS-6ODChl zW;w59+cLh3C|!1pZVOngs3DiF4m=CQ1WOst9&ELBmH^CiCu{%q z!#2yEsUy-Jes{Y~<73C$`wSVk+7cn?=pm1Z@R_Fd`Q>)TyOIt!cX-BdqTRoByFIvZ zvrQAIwr<|xF&~_b;o-xZx7#ew7tYc(IAAC_#$z1wQ+(a!;Z|diX0jd|GF(GR&qwiMA8phdE!NJ3kxPd{3_1SiDdI!;7h&>I73PX@cK+xa7-JK2- zq{5vNw2tXn?(jB@WuD)B@3nW@*w#_|j54vgU8-3T81%YG_CTTFjp3}ZIDn; z(F5l(km*ApqMTN$9+l_n#6Srwc%*G_aFU~yv-}*~>I3c#MCwk=o|u;2gFP|i9~vT$ z)eE+Qu`4-+e5Nx2$bbfl)Fm?@V89yLNI8AKK05FQk2*SaXbM+`riBuvPR|)I_+f5vh zjS9nqu*OM`@@@HSGT8SnUdmV34x@n6B5A#l)1?W{c-Y!Z!tZyVeNIrhel0@cIK-8M<^o7rO+xkrWH z7BH%ID|Z|)j!r(H;!kkH4m$_i zZJwtJ-hKJ4c5rvQeSGa&TYKT@w#bc+Gi3AWmjo)E5PH@QtAxjz2a~RWAnqTU$Elyy&0&G`)`Ae!3n~^7{ILPrL)dAm0SACTFzVn zu7Vu)OMtSx>3CZL8kYtDg25O#oe&AnASu1sYcUY1Q>_6Br15w}9XY0@((WKMBZsP; z!l>zf#MUX_EoSQ4J@}sUYN$n6X0Snm;U};#82^D*TWSS*D29g>cE4Mxd9uwKT ztboV`Ba;M5(oj1-WIdJ#1BvXSZJZ*A{{7f)0)haj=smjUnl$Tr8c^kuZikR2 zxwXTa=@FLmeCq)p9Rim!qmB5kcVrV|z^z?9j}a&*bro&=@IrMv%3|XT!x*3@dCViX za|Hw0}!bhg?TpMTyC z=+WHc_;yooS4p(v`wY4NTbO5h$b9A61;V39N z0mt<6EnreAI<}08i?abg3=;>vs>9k<98RV^QZqT%F-=aELjWk70E|Y@@(R+t6)YKf zayah9y7o?h(E;^THiO8+ok#75ul=CS?4Pwi{O+T6=?7Qa?CNqm;`J>qZ?N&m+!dAF z9$UPe^2#P(x~mR&G64;iKb+9Bl4joE%JJo^FEDw3uYLB#rwk9BU$fU&6~*BwRe<5T zybTSac-iGxUgbOgt7?o|e)7`O6VQBNvwKdUg0JD)UZ{aTaOPN+d}Xj+L|5YQoFfG* zF;}L852pQ%{2(yG!(Bf&KPbSVA9zRBAgH|ZQgcSw4LwMvdR*d1Mqmgb|G%mG>$xPm z?mGU=Om}-co^gyZa>OKtRcvc=lKM`h|$sHl84C zn~#rnx+$In7-p?(U6`T2L<>R6@JT_sUO0e5e#9P~bF%jpETfrkVFbfJ+w?jT@YH4u zG@&Qrb8vG=%IT-NcU>hvX@&Yf`Q@L>++TiOuzJ%i^&kJEU%dP#g}!NStCu0a{N3B% zzWks^YQFe`FAH={ivRq_FF*h2%ig8cu<*;DrO?{8c=Xd>eEIU5c>8se$FF|=(<%3> zob)Gu`p0E;%}up9^z%Oj(-o~oKl;f(e)-?u|Aw$HuYd8=7N36f@^{~V_44MAe){sJ zWfHD?6)m8Ef7o^K>nJJemVhLCLdrtuq!zVH2lPnRN~+y{kFqQXs<@BXGTfarkKKl+nDd->5%|3SKSLFqy0?y!BGY<|RH!;K!xA(77imfi8$MpbLcHJ+u0GHiAJyCRBgZetclyt zd+a7$p38D;nX~HHesKg_U$hA5vKMm?vbpQ9CgN?rKc(7~#hB8^my@c8AB%etxv zWgGhmC%S7Lp>vMFa`3NXpu11d?aBAPEbiMLbUx~3$1Qs>xylBd-JHnyUX+o#x8A6m z7C(6P`O9aY+!`qBp78Rn4Cb$Y_1|B9+|rWQJ$3NacfZcYt&d8kIWIn%BV&^hjQ%t^ zp5iq)YXaX{??r}uY5LGP>S!UlivS(Dc-EM-Cq6!_cVT{f(6sI5NOH{Yk}asKczkb& zgcr5}m5%4?(Xnmn1RaF>Dqrp3fg_md+7)1!VXqD_d8=p3r{0wf4oD2Xx6}sO{@z!h zFQ9O^PPVhv;XEFaI{EqYEiT1dZSZE_wt1px@x^f_aPcc0Z>oDRu+wnSas5l>qz(qo zWtXyd{c07kuX4Q3N8BukR=v0nXXy)j!vP&hpFc_z8i9M4kFZTryobxz5`iFjNsFledXkc zS@VI8d_8#??ds7R2i0jSGd2JC-mT$JOIPx-A7+mq)EIs4dHNd0wIBc5rt~@C2SY~% z4>NF%x{FQx89H>K&=oWEc*n)oF4b9Ief)!$pM3rg`!SD((f#`K*A3Nw)7ui<1^cKq zZl8p|Irwg2$SBcs9i^T~OGyg9GlZ zc5nZt1;_8Z^Shx)c%f!f{&=hV-^>p-camHeOs=D{MBp%2e)jm$s>MFbv7AOQ+r`^(5WQ%-lTyltaBU+tu# zgFpO0O}MX5OyA)`JJ5yRn5OSEUc*|>iH~2nkKfAaqZXkUAM=-|91-E)TYT#xmz5_F zrUi2X59V2ne{CLdh_~^}%DCgJGggX>alMlxsKA`gBbclp{1+|TQxV$j#;Sl6Q|fP3 z_~9bNZ2{KcjC8_30}1E!$bd<@c*>G+hP*wUbFS|jUd)-}4?BeUxI2bbM9=I?20EDv zeD30Srple38(tl=_zQnTq|Rg-50inSFC!tlyCD1hv|wXnZQyW~H+0Z>GIK@eEO4S% z?3o;QW!B=5UvN6Zf?Wm`TJGx^HAnt`zb7rnq1|vi0?FN7Y4SEh3-DFMOIRKm2j#&1 zO&#TrfA(dM)co+}H-Fnx1Kog#Y{8f`9pF0|)}hzI9_6dOa=POS93QDUJ|`;k!sj}5 zLqR&6J?J3iz3yuIrnOw(o5$<%floi~Zf?K2BWQhJ06RUOTr9!a`SG4Jt8JE@8u-d| z!f4rsF0L#YAG(^KbR6V9>ZiHjb;8(PnQ?aTz6n zDm$Iq5B0%Jf(nvlwu)J7Qw*Fks%o5$NBB?J!!L^>!{+gV)$n}e^gb0-iXR#pACBs8 z8%YIEy&EA^Z$Y|@Q+!zv4|WVq7quS>dO2MjzG?n}KY&byZ}{~`2DJpaeabfB`7op? ztGrVj^hI&5CNVd|9HA?(^MslFwlPritHaDGUJ$U|%>NEER?z78c@1x^tr|X~Ka`h3 zB0zoJN{?|@_HfR)4i)3|(BUc6Vpo>EPy107bjq(@}OjU7$viPtz1BX{oQ-`-@hm`-wKBV_HOdVJBjN6 z5e^4`_9Lrr+^MT`vEkf{Kcmix>_?ov`Z%RW?bR!8x2(R%xTyhxtr`EyTKpydU%(A| zbgN&FU|aS5`BiPmmMHLzoNSL`MQ9hj&S5-X)CUe1E@in!M3&WPcg(dTZ}pXohhvPs zYnz?Ofhhhq{Sx4w{lgP~Om@G5bnQ=Xa9@TOFZjo2SZupnof5&rOJ-aj6L{0BzzyS6 zJ01ezf6QdNjPdBL4~2jpZ%7!*WeDMzO4_dj4G9-NCq@GKAs*UeTo+=5b?AsLz0G0W zA+f->|$ppT3582)cR`R3)Dn0?j!BfpCL?6yaV%E<5- z);<@s1VC4Q_~_3XwAazq?t*2oPDZV-c;IilFq-Ea4fOhi<8^nRb$E2V$Nm#*hG=6I5!Otd})kUswl(f&6_y7FmXe@gLsB!K(48lrlSBSB^i&E<`evO-Brp z4?SQX_PTjqzH|Cq=N_fQvBQk9n`4jivl#977ecm;Tyk{ad<71VH~JtXws^agcR{vB z`qZ-d>hHn^VBkl(WBT{uVawV({!lq+q&K}5MNUZXRp@Gqkx%jD774Slpnj{NuqO{ZgF{HR|Ow3K&FFUL}o z&IPQuJ;dwX_V2q5|E><%y|@iUzit8S=Pi8wbM84*O!4gpGm~dBJ(%6njqselP5|IEZLb|C+pC*hwD{E= zPZlWy4$j;iSe=$`;H!-+Ys3lPXLZvV+pa}TYSX96b@mmrN7b4+i288thgGZFO$;eb z`0su4-B>AL$*wGE(3VZ;+eLwIdPBo}7)G6$OjrmXpVDZZy}b*ZHk0j94~AFx!x!Jv zR-lJwyhei@)=TYP#?A@&l-j8Q49*MVCt`Qe4{OT z5{KeNS)bWF;Nh*!D+aozS)}3_dWcSO6HQBmIq`|_Xv`oF#W_6u3b zr=?{LwYZtp@gUPXMHHn!+ z;uEY;%;g30?Reyc~n^)@2sY)oo-zioubo^3Hb=bl4U;-`A!iZBIWt z8IAD=K7#yreZeUrNcC9|>~v+Lfv>9Z$K$C5!>1U4{wu0QANB>mukoq&U=U%}Rr9O0 zwMW1|dn$g|HGHp3EqM^wgsDZHsu(pZ#c`1MGW{i~F_cmMU@ zzr6dymKXFZF~2M8DzS-~aNnyH!d-XjmN{FS^Vpk7r^M{tO$Ed&-q64*gKsxZc46r1 zY^cNy@Z0&fEi&ED(r=k`_zbUHv3t(bqRv}u6|&ZrB|##|IJ}GBgUB~byZN;An-1R> zP&Q}NywbEFSg=X@-jwka6EBtPC*Gg-kssi=1zhbLUbPNvKO7M+5lt3)mUSC~$}qZb znm$V5+t;B2T24;rAO#Lbo0n^^3X{R(MA{V+%Y8EFL=P=Z+wRF z;k%o+GN%PT7_j8n0$je_zaq5w>hOAMhK0GrT|%I4m353Evb8VRQry5nt;FfomcVvwr46o?!|Iz=I9C(<9o?N5dShF*ad$LW&&owxF5~lj_Kg0PS#+2y%p6HH3u@Neo2Po!D{kgD zfv|JTESu=nB4v~~M@{?e{K(jp4fZ4}Gjc9an?n?ck~7>dZ{spr=+6z5o#KH~;&4ImTOjqdTr^BiNlG@f5_EdH6%B zTF@F$Edb!Vk3LMl`hbMOup7VE(cprptuj3B-da7?Phzwv!3f*9+ zf3}#u`fe^VCSoq>qZjHv)~zfkAou{*5W$*PhAc+fD5=kQG%pnc1Y2zYV4nPcD8uC1 zJvwyApXsO;7#)31Kq0VE6sjqQF%DPX;Zo1D&{+zLW0&m|3Gacl-FS{tb7yt@Z{mL!q~>Z2Ys|gv zfm}DlD1hCT?L-@`+HAG|oDwbc3o@N==wpc6-M$6PG{$wqfYrVWgdO3XPS&9-|1P-< z_t*~KGY{yHXdB7LT<2M#BFJhJ7X6|V&r-^|bh7}X#=9lcQ1)Krb{`itD zh!7WulL`KC$^P+kfsii0?*ad}Hxv!UGN5#iCX^^R-i44~)Of?}`l^i9MH8t7>_OMy zLz{RD!Qnvjmi;(qW61{|o!{3=$1C5~HU_VMb}U1^mTYuw#%IN9Y*&6fxu9L&s3JZs z=NNKO@b`xYez^1Wdf6PF^ksI+A!t{3`8coS(06-yyjAsJ`eBR(izj!-&Xf%eqs(E# zb;2R>*l2NElpJ%02M~oTTVQ!$$d*$GRV)fqflf6Co@i=vz=xn6FNKWH4@0&{)fbKz zBq^H%b<7#@t#Wb&J!(7O^_U-()_iX3)-cF5k}oKF&df z+bv?UZpb)VkfaNq&0tTS$eP!|u}@%h5yWgIQZ^c5!IzKU-Id?u{sMSH?%0*OyK#kr z*^~sBMguK;LILy5p;dyez@=`q;S55jM|)S*n3-Z#^dB!A4Mqze-p5mUQZPAO>8ocW2OffsZ#12&>T+AYI7t?vg#2+RQJ5M#=GID<=~=IDySE zE$IZ#?a5EBXJ!+Vo$nvE(I>~QYSW36@Zh_4&;AUik~Lfz8Q26v)y&?}TMrA*WUp=) z-^HJxDg-?~@wKxqyqHVZi`4Cw0_)fa%1Ss1h9F(=>VSw~Pvol`BM%Z)RaXOz7{5#zrFAFGsww1imx@{J(5 zb?h~bf%XfiwRzq;m-+4|jySLRlsbVAUPd_CZ)lQj;>qI=TR)?d1T#KOO7Ua?W}3~q z>4rVgf77pFPao4;ch0=eJT|{;9hGGfn;c)~iFU6%Sr*p&#pbX9qU%9o!PxP#8N59G z4Xg2T>#WMeqPv?2GqnFY^EEEx82EW1#tnjUBr>|Ld0d~cQ&ejAVA zEo=RxdAE-m!X{Cx@ng(gx-)1y8Cp8R?_;}Q#r`^!$H8t5+w(?&PA*U_?mh5awv|5% zlrbf;qqDl0000igZDm0sZSB2%{R4yWq2ZC4*}3^23yVw3h^_6N-M#&v2ft9KXMg^p&oLL5 z|L6j^@?T^D_y142=mEM2iHV7b$^OwrKp60kaC%}A9%<5>_n(m2`7rRxM36J8r+%vI zq2QB!ie$3)ou<6SFNY97{Uhx^l>PrBEb{+~vi~9Mf77)B(g5J~|GEeXi3tB)#DIi| zNQg=P$>^W(NJ&V@NQsF_DF8YCyGTeWC@IJ(|NV0f4DxS;f4zV|a-i3Ln*1N#uGau? zFpwYoZUlE8Sb9`x}5i&w{0Dq zW_Kk|Vr_95dwa8G?78$$@y{IzL<0RsKK!+CiZgcaEHw?)XDm+qSv|w7qka)Kh&zIv z8TmU$zxTrSS!vB@k34s?W6~RzrL@ zgg|1!Gpvu)DURdzgHJ@S9B`|lhys0?W%!k<;?a)YXYLQtepJ#M=Sz9}{5$z0h7&q) zb$8z%%MY^r_`_Z$dGQ53ZOc5K;|&>a5weA5Q_38Y^WSh7s;~~d1~CK*EF+W z&ND9Y+iX!%3ljL57vb-p)}vikszW5#6D|Yue4HQnW&xJhd5mVKY{J zH}0Sg-3a(qewpw*1Iuu~Bpe?mu7i_Bb_traXK#(C{k%^bdsyZ12)2~<+90)2T4-_W zC5RyN9WM#7^hNWj>GtBQth)kHjX#iXweeJ0rvi-$ye!mpX-wLaAUZ>mlrOj4gZ0XH zvo;$qLmn+9Xr;?!kjOydKpm?j7w^*G#1ToArZ;|^o8~Xm2-th9jaO`h6Q$!DY#&qH zt~^uVC$M%FVt)Fg?Mc!Ix{ITpP?0qIi&@DBosyP@gr_-Tk3`!H94F>Iy9q7w5>{sZ zbPy81`w|#DmM*ee+Iai0)5G4< zzN?@BM9Qd@rA(a0ka%&ypYS?ZPEP&($48lp+z4L+$ScY-QHQUmOOXEQ89hG7o4Uo&3tnH&e49{W8p@K|TXN_B~HdD0&1w#g<^)A2{ajU)`lBdw&tnfxd+> zrAc%WjoD3>@q14&Xx{FsB41`+we<1P>`O~s`+`@^$@e?#^I}}l=Vbh^$hgZ1zjgDq z_Aafg<}8hP1?qHzn4DRaEkWLDTzM|?)5}XPhnlkJXkuQK7h?(_iXox*G-PB2L4plg zyrsu0(r-L{>3^Dsb}q-@Wcyw^rH@I!Q~WS4GDO{$9RNbM67Ai?R4s&t2>3b;K1XyG~0 zsvd9gn(l+*F-jv&zmsI2?ImW>kPB7&OgfmIzafqC zr~W9BUD|sR&f@k&J6gKMJwH0bmzkB@|6XM)elkY;?Psur06X2WQ_|xA>w77W_E~m} zl(b@UtK+yVsyY2 z(#O%CYrK!n2Fv*Tl1+Vn3}Ed(I@qq%t#_QC#OfutjNp{o;;xKT;P8dEqRO9>(w2;G z4OIiH$q~}0Z60rJZ}_A)Zc|T?|1OYqeB97KW%aRla%bctYja46Ewkr`m1y|LJ(PK< zbHFs7FQG$a8Y}PAaR>Jj^B zvaGW3)q_Jix;{Rxw=EA@e^2zbp z)F0}!e$th|A~8=!>As+M=cfCLhAR2Y?p1O!Qys$`%AmV7^+rVwxdl34R;Pofe}{9id7Arrec3Mw+KlD z5vS(;Rq`Q8Hp@7b0UvKRCY|@MdOsA0z1{R=fga&c3k@3F*4id-#?H*aF74q)%w5=C$dYQZ(Wwwy?Wu;U7%yOZzjg8;# z=CbT|BFB-X$PE_Tn~D<#?o&xY!~ZSUZiYU)!2`^4@=x;pC8~(`=1IV zv3%VnV6~S`&;LquxbV=6{CT3K#?HhE9ZL&)Gq#_Un=awC42hz^$AY&vXlc9a-EzJ1 z8QmCG`Xn<_Vm(G{-v;d1#_|}Bx9)#V{Da=qdcjiOoW^ae9#BDixHz8DUa@y$hm>2E zJ}MngXC+8!{D#opB|$E^Q%8v6kJBkesIErC-a7^dbcH(Qi8b%>n_5MyaYP^ zE|R?lPB+IHE4&SM11DA!?d(j}zjkI3@uMLeA(kkwqohwE{+NKzSYnJUGHOexd-k(c zOWa=@227R}*Yi1zT^_SE*5&nk1*VDOom;l2%+j1yLjGleHRuU_hzIO&Drv}PB$NA zZM8M-%p4;MHBu0(XR*&cH73;2V8xZQd$Z0LD=Y*`yFUI= z!?;_Sewl^9Tq(n7TxWS|V}Uz=#{+THHK-f5at(Tli9qNpZz=TD#98y;O0ZP^enDkc zu3d^qkNudknK6TPqqUe%C2kAG=Tw5PRU|qxYL#cS*YEr}EhIiu31$7!_oGaKxvC`B zq{Afb{8?sxdcbR$%DkD9Be|I{bKDrNw4vV5&vRmCbZ3;mBTXi{FI?l6((R5IDW6D| zv^G|(4d(0`M6!!Z#uH$TQ7OIUoeHRcZKmURrP=-YSGQ(LX1ER%Gi`(4m6xphD z$m2Q^xuR3Ufop@ktVzL(VR$OAaw!8F^(Ti$%<)!LPAFB_)(O2lzS4eE44uUrO0#4e zLa?XD-tkrG`RTHuJS6WLv>c44M?$+NBVi32gH^KV8eD$Y-O+9Ls}3c(6tYp-e0lNb zC$G{pspTj~ns*bWJW{s_418>6xNd~rLefaUnB+yCzkbWRqF3qpWCnc@diPhzpnw0_ z)7?HdFOAD*v3QzCxMY+E0fz7DDfJk?>|i^^NA5@#ra97}XR9~v+!bbOgdu(5hejTZ zwPsjKT;)^7(;tExMHPsKJZi0K3Kfo>^i-Q{8-i=lW=>)KLcbeyGe`CA++WGJHmE09 z85qhXN%fZgR`5`W6UzCLvD39^+QX5;wSMBq0o$$i2t|I}Di|~i+srE@-@gV$`W>h$ zd>AD=`f}-1Hkfmmb+B)WXP)$y^SQm$SiuTap?<0RtNO<&)l*dyzVqC|2NR@kI+a^6 zW!Io#E{@g)cJW;d-}y^u&p5cwsi~>63>}R7O5tLxKaTkOy!VfKOLtx}g;45&g>fO$ z4|=HQM3;jn!vDxRmyvdaV#*qZC+1z|>d79VCP05`vb*@8J@QaH8%9jjw#ngdL{02Q z13bn{g|;eCS-)XHg$f0JJl7d!d}cZO(zDR2wmrfldo1BHh&8G%dj7_X0ZmnLj0187 z&5NtJ26>1Jp`XkGY$|95Q+0w7;JC}E46&yxFu@AbF1MSml4RB)rp7)js|^-MBx`T8-V;TB zLd~vZ4R-i7}-H6tz?M49ddtwnGH5z$QYRYcowT1 zv{fZJ@Z+xIsYQ^ebj(1=?@IQ^bwdKrZ`Os5@>$t@_9E=t0;r_4!KO+k_@o?#k>j4X z02CBM?MlqH!421-qtGCcXS@v8Ao61~RHHJqe`r6E)}WOz6S8>#U9?wpc7wUpEYG;7 zgC{sU6*cj{uR%Ow+sL6!ll@!S0A1&}M2Jhx+dHtEom!iaC9N&hx-yJ1Vm)JB7?X}- zyVAh~Z|fcDt~~$#eL+t7ooi{qu@3dOJFe+)ujuC1_q^WxSVe%)c>E-o3QvpQC=kae zA^Qwwu}a9}-%pUg&CL&TJ9DcF^0|sXA1KstChZKR_;_&S=JitW8#%|@uPXB9Lq1Cq z&ZVc!7Zk1oOX7FJ*KbvQgAqe5xA8QYxLCf28Td(P(>Ho>+hnY63s>3G-*}&A6KEy@L_Ff9b;st(M zGZbza#$e4V^8-!c_ga=E{5_B#SiOv&(CG0byQO{>uVwgYLk@9HS#&^mp%&gQhQaal z7~0anXXx++RkmNb&}ghqIXjfa%{YwXhd+PIcLib@{k;PzXHy+N#s(3PG%t7>98nbz z4aG3RE_kj%-#&C*gHkWsH<13chiXL^u!fc+JI};j%k92cAF!2n$U0nJ7?A3hYmi;J z;M>WoyZD8N>e!BjzORdLkOI2!{-mKzFH91Gcn`ImOzC3BIJqGvmkjzg?^ISE*buAo ztiK53L|GU6E0-mPJR!|2ccU+zt%tiX@;4HPAQfp!3Pf_RL5I_TygM=3D2r||Nn;HN zKPHKK9N04W+B*=sc@26ee`LQ7r8&Se{qS_~coRJ2f&KXNP1X&r1qduGA4b2}|q+5Mwn<=Wwk&bxh)6x>iZ@x{Dd3*zOhmidt(+D6#27r_7$@&5eWl)feZeZ!M7J>^cjP_$=1vjWq1e zhxtx_9&QHytgX2Q5&i?<)2){-I>1)51|wg&9BS}dE?ZI%@X;__a3kzyTY@iZ18Ce6qZH@)U_%XFQz%V|n;xrd2oI@F`=uZM$f zTBt8&3>(pY1{3KlA%F-~ODSLuXmKusl1&)C%ZYS6O&l&(N3#(923N(c>G6{i6G#`# zmXkM7=!aeea6G{LJ*+Yf?Oobat$$B_0QP-V&;-*tv?Vc9oaApUlHSb zAY5I7u3_v*uWp<0lua8d?4wZjXVABW^nU~9KF?(9&R5&cs@Q$`^%`lpY`JE!e#K_vf&{g!e;3hN)bYanoRg6*(twu&pMR%%B(rX zAb03PHNCyw=)?AO?_{mBrwEk+_Q!eI(_|4x)l7g9@w*1?#I#njVmBfo!N<_ey_&^p zCznEW2(C)QlSBZD(Ha(li1f^PYj2lXUyM8H0UAUO-=C&WZWs=0f@CBs>;#77_?Fnk zqO;b4s7P>>4RP24tYP6$7Fe7DLg=tB6^d+CJS2kx9rg4$_8uFhB5|x2I5~BQKUsryHM{2 zi+jVb^Dglp>D|;&&t|_B{coMAq%BucSr!3V)5rXRy9(Vaj5T|ZqaLB|8fN_TUGQ5~ zM$9wxBa9A0Pnlhmz3LevJFZFJrZ4wxg8^&x`qnYI^O%UBz!NZeQsR5j&yWYn6nhQ- zW#HalgMN&8j@U#(Itv$9(0drpt2ZI4^Qycd9Bn9xoZzxafvhG(zT38y;lmI1+H9`& zZoFBn>0;^Xhb7F$eZ^mKqCsE2{g~~1oqf|PL;5ygzud$ANYc2Ahpc^u!kq3 z)>#2#TO0{^DHdcB} zp0txb8F!ezr|PUoV)!U&AKaIiyDQKB%(Lakx$FC_jUbn&i|j2yZ_6LQ&S4e^a~f7* zh`LBcdZCjr5val~t$`DQ5IS73e@Zg=W*7UgZHOVlh68)ghV-W@cg$Z_emZOT%X2g> z$Ga{i{^X~qA`+){N3h{FsMqPrizUrHWeJ9M4sLnXY$&wN`TJuu4>T~~r)?!ulEM1( z{O~-Enw=o{+t$^tmfA}5Z29!YFGcfz4Q6*e#l!B zM@KW-IujH-FZ$%Fe>(BKFOrL}Y_GlAwB69%ly3!$wsi9b zIt20t@z^tpyr*%W?;>9daX^84A+c;5a6>ZJbu!FBP;op~$F5j9Yvn<^6F;1bGr4bf zaDZu*)aCJH^ovtj8mh|3-q6?n6*@}7r-LO8f{&V+Tl#%P((kbV|D>($;0Q z#M)DX6r#)66+L%3$=G%9T)Hz>TGmy7pm%;$JVd(l`1FA(XMelr#JcQ+cjmO|@^E`E zt5kwYpM+(DPA3J0#D?(2XJRRYdTQQg!HaeB&JzhyGyIg0sROf^S!NJFA7R+bYJ(bn0xA<2HNE#k~lGmbt#b457- z@AyNoK&W6(eb!poQY`KRL4asI7oE@h5k($N3nlL4>LuF`rSmhxa^)W>!$GOZ1d{L( zpNI|>7bWFnmE6VlKeI_nas>yh%R^L z*RtWC@jDk9EH&Sb`N18TVaDv<3QjtxTT> zTw*ufX@Rg1=5JWo>h1IsyfD@-1x2Tk5o_?)S29w%GuE^57}&LH;hvy3oO_Nvhip%Ph?_%4pYgtr>(M)HBj)p@d<3eXIAQiXE)KfcV$vlwAL0>!6h-H^qd3oj zRA1BBUY~(edY_j&+IaScx}mT+3*JVIdmDVmU>hHs`-pm1;uC-UV3G)x6o2IXp$n7G zAkYmEUVMr3j@(DR)}@`Gy`~%;$X(<>9u0_vKfmA6;S-0+Vafq2PrO#H>jIjC=prli z+JbangH)d5;$WNY0bKH!VHBZcB(%vEmD10cFgnnl;cW`{sSy+BNR2Pm$=H45^L{$$ zC1nM5s`bhCMhpb$)6##@$>hHUCJ9?Q53uG~;6_m=X4M_M_LZohTj89ma<+5ZfAXGf zdmJq5$k7aii3SHwhqRTZ^6G?qSar&e|H*2gC_c;5{p7dBJFm87BBwW3-pL_|q~aEq zp5H^9L;DGXV8hNWbRe7FzT}zGZcoO-ip#|nW&r`la(Ha7nXg#Ps}Ni{YXx}}|GMNS z9ibLAq%;2o68QDmOpZKB(a0q8`6DCmdTxGj{-0~mA_JZ(=QCES5hGm^LWuh+R})j~ z7SJwtSNwZ&A!o^*Z1cAPXZ_^@t6$HhwWJ>}-6)DI@~HV!+SnMHD3EXbO;`jGeNesPIE_$$t7_FuIC)c3Z&T z0D}sJ_V{A`kWdW_!&XW-xJk7GnJ}@S*HAxI+AzC3!6HV|-Izr92ux2kjNTo_ZSOQ| zs%!x6`b|GP6*DS!phZ;~lX@kLpHY=Y=?^sJ=PfM!xTP;v*W*H+p{r{t+}q<$tP%ct zuqe&f@G?}3eyy&+|5>b<$u1vba1O-Oy$A&Qn)*xDrD!vA~>$T0)e7cV-q#ny=bJMEN zav$PPKHbvhQY=Z@FEBPq0t-x=zQEqc2mvl5TZk449HH`LDg~hu;R!FNIdW7$>X>6PO>lF;jGHAAvf^L3*BF!gbVYgm+B^S`+ zfb-uA7&X?Q_J`wwhkS>y$ES`KSP++vpeD1jMu(mRqOp>K)69$&aKp;nyMIc3(M&S& zvHQJHQ*%G!(5;dYCOFs@$B@`;i2JiR3|C19_`8wk^_R^iOduJ262L~HR5@(Du8aPU4*(XqN z+m~YGuXv$7VPS-rj;)1cRd(zRTty=-J!XB=I`Fgq%q#b(Z{z5S>bL2o!#PwG$JI_Z zzLv;Ut$?5LBU zn;yrgn1Xah>aAr^b%gH4i~GdRu-Wh8J2JaP9polT)KdlCnwkcF8Wy^D<;Kcbx${R0 zq!%LK;35B#u0qyc=<)M^8tpTp-O$<@nt##{i`+o^1Ppj+0d94O9r8DNd`{kr!u|J_ z$IUI}P>C4}D=Yic=z}{k4C39Iug-*SV(YbO9wf61ZM7T%fiE%&?2F*vhFl>+xT?SJ zL{t`#o{ZxPFQ3;{Xx6?$^bfq*hS3$nEBS@{&-z6Yq3KFQ?i0qdkrbG+lni{{$oE@xTwG2S0EA8T788`AEU`+IY?YU7$L4Ix#^4Bo0w=<*b>{# zl^*v1F)x3X2Gi(@Gi#O`$x{u5;;P=HqPrW*CTK1I7~^5{*8DSAFCg>hgDb*1RUfbg zphKGmCgMvhbiBOwSiNt~4n0-iIKDlO|Djm($8%21DOw_6O%BwTrRp_S&A6k;k9}J! z(Aq;*4XSoNp6kD#AUdBJ(w}+b+oJgUJBgl7%cl9QiudkP&J@o6R{H*fGZ$3>w$2l_3^S%ZwGBj z{trQ;9Kmay6?0i6`OU|xEFQ~BERW8a23|-FGj$%Y8+xDc!d27=`0M0s={r6tDlRHc zDn|^}?133V?8h+;viK>uVWv1Ad2=z-PW9j8%R-AxCr0m#HXfMC9759LrgG)GpWi&b z{dNDM?YXMXBWs|rTVh*MXG9W%8~IK^#O=$RHQ}+}+)@x2-5Eo-YXQWh9yx~2t0dAV z?rdi+hZ0_n9Fbss1WT#MBnI!*ACZH|i#$2_f(p#IQJp)lgi_k-gPbgO=pG85w2ypB zaH9MoV>CzSU6mLOkrItUO;#b_&M)ug@tS^_UDP<_mn%tLU0uv z_4geFYlxqA3J-WJ8rT%NNLD&fk&m7(6K2Hjtvf*v_YSq&@t?QYqce;063>y^~ zjDc+WehM^t{fpR0&dNLL)u$o0eG9)Pbvb;zYxJcVFG|_jlBsCdZ1oGZcGNgw<4! zeQfssl3{PT<0&6{fz!#3?r&*tEq|qRHNPWOl6no2u!^7DyhmaH*=z(OpB8OtTs;qL z`{)ZeLGgEz!;aM^Ug9X=p6l^fl-n9%Vbp$QryzNFypCu0Kq+>6-`;*ANaVT1dnY5(WntS9CG!xRfZCr!JF@7uStaj zJgkYplU+Vp=7+ZC^4~t$)#d1CB$|%k4woVz7Uqv6V{b9>a$y_yI8|O95Zq6-wv^c? zBOwh)AKpQhG2xwj0j|7(ZdTGZ86HJ%TU9oev(dbjdcO0jmLoXeyiyw46AHYY`pj?B zER@6tA|b=mACYmBiYMZt6o=&}pZz2&yjM5ZbpXWY-));q9{xKw7*I*O^L5G&iFdse zPRiK7ac^*bTP1Ys9(k1(z1)`0B8fEtjdaHqoR}~W{H*ZN!bN-^KlDhvhQGQq~3f@^hhTE69*B5ImS9BmeJ*mM&*Q2sYHVCVoIH3skvqf@K<}-~RW4P{A@VxDR^`+EvZy3eQ=) z25q>7&Iq6cgwVh|zVZ!9^eXp3>@isSKNqnzHFA9_WW;wkEnHO{JsRKqoe1q}!rK5b zaxyAFu2ytuke3_mp4>oSmwX}C?@$(3sJnsmhGUs#JvT5fPdJWPz^4+JK=`N5o|MN%@cBob%l8J5Drj~J z_JKSgyCA;~Ji7)wBDO3Rs|uvEdi*rX=Q_Fud0$S468V+D z{zKG%O%vB33{hPy9=v~X|L+9tOy$Ur(_8eqB@FLX#vExM8w9&Vb^o^6{RR6Emww3T z;+ODumHr|DY@E&hG{h)c;3;=mm7y;u*$ayH`@*;&lLqBbwZwytP7ld;&3Ex4lJ%2+ ziQKC2_GJB-62q4JWdI`lo5C!NA+>Jdd+>Ta+b8#V&IMRI6xl5vbL%ahZA|4c{YO2d+xLCPB zBI}?=P|(e7K28E+Kz^pGnbtO9`7<8LGG??Og;}8!s01J-AUAgdn5&K86u-27m+Up7 zkQUfXSY~{N5SD`-n9Nrvu@60t`f++^{~z|QL8o19PJf6d@0k9wd6jhF^H8Aj9b~pS z@Mw(dfgu+Wle!I=8<~%#U-|?i*sh={f-k(_!T4U!?yAFQq7qp z80l?-Wolz(!7o|MidD5TAYio3wB-|phqvzlIhhDRJ5|X9C0>J?AxTt<1PzEu+Os+6 z6lC>G{SvfaiFf$7;gtCXU%^rf4;=hmIJ;xCbH2R4@X1^OpJ*#BOXm-0stK*`r<$IH z1D<3yNHfgr4r0Re?QLK7x7(a*lP|78@)f`=FdL+?$b6d33j1I^AE*RO`;(;`&?SI5 zI2(ADji*`y_+XZ^7X*1J$v$-KtLMr_4+r_+BYHMp{b2&5xPwmT9V$X+?(z)InJhvh z@b673rQ@BupUX(OXMYI$o71H}e)`^Tu7-O*ua0TtmyEfVy-FM9LtuJQ5Z$2KME6RZ zU8I!(0Y|b9FKogNw%GwFWps{^*Ye2#{zVq#RPtx2X@wA8#XIP+Z|H3@Kw!H7yq^ec zz|i$5Jy8*3vcC3P9H*{59thz8tdS6viTo#`3}pk=2}!*H^{#^h)7AuaNJ0$pzFCexc;mE z8dO*b%=rqkS)OM3eKzbz*lpQ5bwe!QGA+N99eh!Gj_CN!UV{Lzwl!#vL5b`!u2RK3 zWV{De^fO#ah@VcZtwtSkU*+_2+bwjK!e@f>gbV}T7}yc<+*o=iKBuautr{G$7gBHA ze#f__Nrr%<;=q)4UyhlXnfI5Tl6w2Fl9`cK9)GIxQD5f=qP@}d_o5gY2-55tgb~qe zOdGv=7T9cNr7NrYt~h_ga;Y$ctGMZ*5cZY>o>D_P5q6}pWs_~kGSz5zt|OXfb{5%x zO3R;nm;zXM-3_bKb3-fuv;}kU&^3tYYPd_!>8$ZjYno){Km#*zAD_YpjXI$;dy zPrbZ8yn{&SEMS9d*rxF&81VHh9t64Z^vOdLsf)-0Q!rv&Cc)Eo*Jla5R;(IM>A~3c zA)T`z&n5YfCz|l%LrM?Y58iy3Hmr#6JrbFOu<2;{30zHheM8rYF<6t=Q0fv|IP&rP zcelo;&s+mIzki47s`vku%Xl)@&)BB=B`x8_eV+jEvx}Ky)j}YvYW?vcjY9{s-k zqu-a$T#J{bEnlPm;)K`N8<$0tYPmR4o@ri#EN6vF$KbD(gZ`Fz5!>$MCMQ&TcW`NM z?=q6XK_HQgr+W^2jvsN?AYD(yB#Yd#@V>ooYe!0xurtTsFVlv344fU1z_8~xl>vH0 z8mW`1BNA^sbN!qxb&+#DcMXDE3V#l#6t1r6DWF72_R})_w5CSJB$Wro_AlojH5b}B zxp7^1Rtx2DC-7UIPV(0kv9h`l@l}C*GfaMK!C@F)Lo8z@`UOT~+b|iz3UMq<3?s%k zAbz_Z|4p*Sb1EOA=^7Ivc2B)Z-&0Q%zkTVFXR>n!-x(E?5f!bteV-#zn2=Hwh}NO) z0Ic5w_Qd^b&`Zha*Bd}m$_2Ir-6|WPjg_@ob4z{EuIsi()*8{P2R=FB2_1ox?Z7|D zA8gRUHY1@(AWRg4XPN6XsS4}eXbc_9ag8yHPEK+!*QL9W#$Df0;M|Qr^iTsQKR4;b z%HTeBNrsqVW)Ue%KyI3B!K+K`*JaO?SfkQYWxY0kCNZ|T(v|MIF-rQ~k?Fime#}+nU}v3?XHF_ zB63G_kw5V<+5SDI6KF%t;Vh~U{S8xw@QQ*EgmGfZwgUP+fUI5ZOk>{0X;{lMlITq&M1umi!l5a(1N&bwgNHfy|f4H|iD zRUAV#T(T%biZ*)mp6I3;H<)1BrfiuOOMi~1B-ny1WrQuXn*h__{*4Y%W&JqNH(=4& zKMSib)=aH_H~gkPuD6)Weht1Re=+_E^UNA0*yMy*Bh#GsPkOUw>Tz^a!dg2mDkLJm%(`QNnrOe;IhJKe}g&j%3-4rrZBb;x!SY|(R>pl zt2>JJX?spFEGW)DY0N?V4=MTh(-Uc$7O=d4+?fEm8>%W{C6Hu;kQi`1?I45&tBg`j z_p18YUX|eHyz#gpCqPRq%;{3~hqdOe@!uzE;FLcm$0C4b^M`I$Z>L|;gai@c+gz_f z*!r3eK*7rih97pceFb)f(stgzx*rDA#@y^;aa$KbF{(066&kSx;%DY|H3N@6(^)@S zS>&^=|9TB-ntDvO*Ti%c1;(-iawhT165dNeb7GLwwKvK}W|W8tA$tNRhG3*849hf^ z2}bs9aFH57=70X1wurW`UG&H%3W7A8T!sNL9{4rr7JjUdLX{G;0f(~5>G-=gi4z%E zm~=FI+(mfQ$aW!kRi9VK4LI3lu?Nt&C*!_B9153d(L$JX7i2a%4db+B6Ah*lnko8` zt}~z1vK$5%ZPya92>N1V>{>!3MzI{osZBQe%H*fvp$P!aPFxFQvxqLR8rV;!xB^u^ zto3xGv38TVhQ5Vzu(H_)Qd8^W%dK@<#Y)i&-6O%7Z;wSq!A?zp2mmqkXrJI!F)L`d zT3jYx=ogr!^WG=3z;x58s?r&Ow%Xa_(lpVa)#@U<#UtV(kIaudN=7XFM5mewIKZC8 z`2(iEy5BLZ55`4~ey0VwN-M91Y_8K{L_;|XEs3; zMYR2tqJP=Zz|M-i3#>p5D66mmt%d003SrKLan~TGPA1a2fKP_d&pM^!jLbidZ<^YS zb;ZxmrOv;8f8W@NyD&mk6f20@?*YfFDq?g{8oig3QL5Y+Kclv3|9sKMaRLL;JCe=X zB}UFx-P^|vgf#d0NW)cT5^#5MA7GpB!N|vu<#WVa7z>^#Y|Mrc&l{qsue+~zx2quc z%`)yYQM1#UUl379();liS8nVo+Z3(0`Gw`&@`&1-{p& zG5RR8(L)pt)UR!fjTEIfQz;Mc`N1C~#UE0K=>_)naNS0d!pZ|}1`9ZUU`4i+bqbcx z4ClV>Hn!F0__Qm1$~4wqb#!9A-$Ta2{hmfQ0S$=&6RHZ~XKZeT&>}T9;ZaSKaW)K{ z4};L0d|IVP)uW7$=2VWP9U2|g@vmM>ET4;demUC;lwNn!M_ zIe3Pr4#p+f;mQGIe6gWW(b^JcyJymELwnOJ?y{!PeXo0FDU~Ll-O~qO1}(sF=U-zRf}WHHh>}*o4TH9Dt8IVJi5Q+}N0trb5QRnXSuEE-DMM zw9|d{Y5O$c;%GHV?t&xFeWNFlP8aw2vp~4RA6+6L)Bw100$uQwMpwk+Wvi>^fd)EZ zw=kuzY;34|z37V~Od5OTA!LwKZ&5k=u0)?YDdV$)Vtl$v*AjN2DR18Yn!Aw8cJ~g1o2_ z!c!`4G@kcEDZZ{@%zHh;MvX&NQMOqG@?H7G%e`I_A#H06lQ$3TSqWRl)KqBkv=kUV z#CL);Cf8xq+e*@mt#VI*qt6_!x0{`Z=?! z_loH-yHjd6o(Z=39XQjM0PFr1Hhbxk5Wugq2tN$K&7B$PkN^2r%-UB~VNfS*q&addzXI`RFt5i03h!>(mFSWJ)~0leJCbzy;c1PK?e1M738iy0C9F46aF z-s7dpy%GbhoT8t`r>RbkKB=u_L932<^p?RV6Y$S>Kv2OrkP4lLs=+ddKA%%1`&HY6 zEW5u@1e{0Q>IQ&nTUYI5zVsb3{}N`w^`Py|#wY1%j6e=+*RZ%Jh%D*V2Y&+LE`42T z)w8UPy~LgKeU&M)%LvRvW;_qooD3N@7yUVCA8%EN6}<=tpbD^Jvk-z@fFK|LE=($r zM_&FaBn_k2VSeW|-p{i+C*KmppxeYV6+^*mxlTvUy`nacgJ85>@YGau&ut4aPDg4$ zxW>Ak?E<A_(9P}E@*&7F()Zro1tbE=j;ApIM3)mb0VBrW!cT6H zcZmd&^%W2x4P!h2Tevv7SuP~v;YKAE=FvCVwiX#a*+>{fc^7;{hNqE9GXscw%f(!v zBbd2jgz+7l(Y9LrxFVZnuft!kllEre!eR+<32+NIA0SCKZKwwR-2(`$7S{=NJz1>5 zQ|KX5mdiG^;{O=ZhS;>usqm2u{9Vt;PGva>fi>EbI8$0%V!)_eP1$ zNLl;jxg?yyFv?(EHXr~`WR(!?{x0b*Bo=?Gy~}m_1Kfjdjz2SbP`5n~LacyQqcP9$ zlur=ffjgPk4V&g0Y=SXWK3i1!o`yFx90fDK3CuM^`@ealkMrulN7RM~g^9vvLQJ-x z7+yG*U27A{6nJ}mp?jn88Af#ky;d3_YfuTpE> zE`HX2Megg^H}Wj-SzfMC^s?&;;ZK3YTGcN-bm=Iv)i+ z(%beR8q7_JRe4yl_;b?k^TRK3;`_CFvBnQvRs&RJbPi4;uub?S;3p*uSSDEn{D|O6 z4-1}EmB!G}lDOezQ8v+sFX53MrP8JrF9M98R6cSsc0S#*Y@QxIuxWrGodO^Y3rD3Z z_}ewKU~F-pO)nhF=(vxb$9&w zl690Vds)jiv=Cw{MG>Q9ois^8O*2Jynh=UIl`V-OTh_^5Ns^tI87g6h8EV{_rRVDN zJm2T{{PFw4KVGlzQNZ2( z4j%iObrOyUVG=^J)DD>O-&rE#5CH&_(Ie7n9C7~8IHoAgNtdIMqsx}EwlScT|BN z+Ycw^9x-;7mv2Gt4cc$^3&T~7;Fx3gepyBY;3r)iKVM^*%sMog5w|Wi9PfCsQEOh$ zh(TL_^J}T9jW}Exv%0M5{y5eKNkzRyLgv6tFgSJtoU@?_=81gFq;M}^c_e7`7eV|h z`nu)wkf!H-CJ6-Omx_}&-xtQ9`kd05FHTZ10*Pz?uJsi#f%m|Q+Rq?DB)5X}a2wZ# z1SzpGOxL>6Tw3?YoqOxYGo##NUQQo;)u#|Vdn(0btyjr~EWYaqjHe6M_5i|P1dHLE z#Cq^vw;)Spo?|VU6JKf{eZMWMIQnwS>^-+b_UA=#TSSH>)ym{}4!H3fgihkWnwPX5 zyKk^fJmh23OjZR%bY@OYf8F$c79k#bm6vmMa9--1+2e>k?OIB=6`VBDb7V+6r~{uw zWV%uy3#DF!EKZec%F<3DhrksetJ6@jYHCr4yu4-lr_z_&StU~scX>SexTEKju^p%S z;%33%Kk|HWjS)mJCQcDxBxsHfHv-aOHN0)xIr_AU#ZN4Q2yZ8Qa?w$@M75saWxmeX zD?7yOBDm#Vj%qZ{c;nCo!#^RU=*zo}8a_*y@%HwdvflS@?_dvguZPN`h}wJIM=P(B zFCwVTe_^lnDb+--Y#%!hLWY#uB;GZwo3QqzwZS~UL>iBU-&MJiRY%AE8i&ona2Ee3 zBLQ~$AIN2cqLMq|GF(3>m4-<4-3BO0dZT7mHgK1;Dtp6cQ4uN?FLzkOE*q*1oHLyJ zv=6mN0Q;!Hs~O^pLtr;A;NBy)wQYi;+WESy-?71bfzM%)zj9n+4CwTaW9v2g$6kH% zP(U4ky;oa$?D^*&zl&U#n1sKuGAU1^FeGV`V$s^&I74RZ*St!Ca8lU!H`NWpM_Q`d zBlM+=t!I!#^;hf3pjN?U(Bc&jZO7|+MQu*=Q;7e3fTQ1SHlT1l_PQr|Hc zb?^fWb*mp|8s3%vc$nR>yelefK#ub-3UuZVc*!%efKPr7MR3kztzyMP*WTvdh)R3f zSf3maV|}3PZIutVQ~TnDS-phzxpxEmNvph}-wMC_rVJd$LZ|I@T@=*Sb*~!R>|a2U z$&v`_EMW@Wj;Q*S!4dSG;t%lmLQ-gIS&Pu9A|-adxutncfHEa#TwULNUP4wN zd;e2O`WRpQrDGgl1UUzloXpfBv!u>Qtse~%HshnbC`onSQr(7f&yL6-6>q&1IT?6L z?;A;I+a^m-MkyTyrXhrzAXlx>vyABJ%xhSAl)yklrOynqGWx>YNpf56i3zB)3vEK$5tBOUQ1y*5T&uMP;%){P;#p6 zX;5h^el_KI;4dsCt|#}nzWEK;a`H!g#_5y#R9LmEN%|)`zMsOD!8TSglJqTFo!@PN}j0Jmrl&`Shg)0kZI-Y4s?P`M!7dz_M#+$u8-C$gx zh&~YI_3mkAH81^>^&e!E#45ozB$LV1G-8!!BG+>|pElY<^LI%uE*HNqj ztuB2{eERDZ7hW;81s3(yp-N9;^vm`0zPFhEpbd#f#=-dSe7EulIJr=`P>T+8#g2*R z?pC}s*Wvy(w5t8PX&}DAZ1(9JtBJUz>y?h;X~g+rtm6wC?nd@UVrWawjCW8b@?lk` zR{F!N_ZL+1ZN@WiusWt#de#samW>&%Y3BH3C*cL5BENe_-@F* zvR&De`amA3ys~$ZHqw?RN*qjw;maJk${#@9DkR5zDkQ@zr^4|2(cE!zz%BxTBGv)Q zJl)8r*Z#t)M$&QRxM@7|3Y_tX6V30tf_qPtI}fR!XFj*;*Ig6~6YE&tk()y~Kk0|8 zcZyQGB5FwQYHn1=z;JuG`&rA+n3SFZC00o;Iv^&dG}PSdZn&FLUq;39^TyNr55Vf0 z1n*rz-uSlyD9BQVD%@){sm0XP`E`ol8^WjP z3xBw+%PD6r>R=zk&ftkgTuVK^Xn2|hJ&}VF_zXrbhmGFYLK^>9BW*`eOQjBY>->cU zDp}dt-IHQ2FC%qeFvGR7*l}3Ioq{(iI>KC48E|k8e*ne*KK8{PurwMo^M7GE59EsP zHS@)fK*~Y3QP>mGE7jFcX31>w5Th^x>i*U@UetSOSaoX5J zeEZ~$x=$b_+Z@f3kN`!WtDL|Mnk6usyew-@(db88;s)Ck> zP{NkBPvFc2;M>pq2jFWqq~mr+ce1aJSPP>ql7D&Mf_v7pwj^qmqOaU{TeDY7u}Tuj zGckR3u3F$+S`;+QD_GshH z>p2&+gGV)@W}=cVEHuap@W#MYv+44n4ZPOalkY&5_zPPoP~`7GPz%)&^(2O891&_N zu=oobPS|Jzfpu&UzWoZI4^tq`^-fAM;6fG{v~BmcpH24~I5k|^a)>tZ`|O#iNrTrK zp}EI(4aYmBU8IqAdaH4B7TtI$>?P>Q+)4^gPcfP$nG`vV?2_;JBC`z<(%PJ}h%pe` zcWO=h)=L+;bK<=UYN}x&N`2Phy}P^UZ-otNjT&ukFQa)U@YJLN9T446ACsAw7rc@- z1bR%2I(19E3ngc&Vtz`PW98VG@uRvv$%u7spVPr@)c!jK;`khV!fzXd%Lx7QZy!Sg zv?~z#@oK5q{wDPbMfLZe+#49z&g|(oS2yF9_+L@XD;P#T=MwH?1pnQPZXA_xr%fn7 z-6}Adh7j!s$-%_ye6&_$<|5L3S}42>rDGAVAZlDI08O!$W(U&R_H)lc$t={Y_*$s1 zIcrDFt;)r<%Nk~kj{~MprJW{U@lUQ^$#|+PfLZ|9)t0Az_;xK@lQJqXsZH6yfO^^{^lcr`D7$UezNa*r8Ct6l#K z6J1|{wD9!CGTa2E9yA6Iam**Cis}mtS&{d$UgTVD^LS|SmGb88f$r>zpS{Xe2X>ND zxTj~s9J?pkWwR>oA2^ZI{oTU_XF3vdg0ia_O%5cG`cm@&xOW1PjAFWy(sGHaIB^I? zD=BB$n7T|WIS*UA6!*R8ED|eC9LUoP{+86jP!wnLJxB{$v8iKy`jJs#l+OudubyxEj#!C0}lk|}qytSVMgIm`Zu+XST{G}^9(z=pU+cZf5G|xFH4YBKIvJF6@d;^ zVsAnfAQrPoAepuzyjk)|rUXf^foOVFr_JwQJHoB9h3IDuPvk2zgq6pZa=CKqd=W+y>Mlm7Ip{A87lQ`{_<-HA>k6E@j|UGbJppiiQ4fugkZrZAFTxK;PKZQ z)kn#v5!75@C{CzJBww_UumT(a!A?*T{7K z{Hgk2oWZvbT`!KKD4+sLWFCeCD|6auE91nnm>X+HBsURKf3)~mxH5lvR?WOJf48kc zQmzMoU1c_DdrZvnsHc)0vrgB=vlTcXaM{IO#fK6h9Ri&Z!*RfBK!r@b z4%;1xU7mauv@7oB;I*LoaTW5l`0LVhDnAAPj1R~LP33Wx_@W>P7y1K%6Dc&xE3tOs z7;}S$t+ii4&w(z(?=z9&JDj`*j@LCg27gQJ7lpRy9G`Degbq$p2ClZiCATtA27!XLUr`R64H&fG(%@H={4*`SA#W#FIH?~>v zKfJy9HS`eTkHl?6`Z zkvK`6I(&IoLBL&wZ0{Sz)f&Hev;M8PG%Ok{H`v|~5MVKpF(CX_JplDH<@s_KAV)XW z$&mVVyjHRCbP_i|;E#2+j`7Q7#GW6w5l?h|dWZRufouvrD$2azg7e_f!JKons_=}0 zubxrNLRt@rg_+g9!&mxgGVT?+v0Qz=(7XRy_k|Si@;6~1CVKL0dHdsSbEX3Gr;6rN zcmP+51vyIlCICo4nwSpwCayR1opp$snEa~c(5w;USUV*t(HCRz@wLIL24m+Rr$k;P zi_L3mr$u&S$za2OfEOI$kE59GB-tfJIDML`=h83i5uIk(^sO zSBT)nfv}A3o>K6wb3X;4!Q%HMI;vL(TpUP_&Q^@HvGQp8|L`|HoUK?S|#-R z-AY#5pWC|0vQX&=rVD^B)k%OQq)WJm(}t9&`1Ce8$on|6{*M2wQX|&Mrt8F4@9AT# z!|JQq_gLSh?Wvo9y?>KBP-wq&I^1+OFMDxn>QPZc zgNJJgCi{V4&k-12yK%tl*pJ#sE3Ki*Z*~64`BzSVe)3vJAUna1S|)T;@zc{yDSMtu z{ssBSe_qIz`OlJ+aZ`xb6Z3p$!X5H&&7pD*ND|_P_Gz~x$5XZxOpbkIA(Q+)^G+uV zn&<9*Vc50jz1mgB6R1uCSO`86&GrVIrT9WBhUat*oFR9B4MuTgJE<02nVTJWnDrsn z82fAkuk@9*OC@D$q3Wn9JvhsIN+B=OqR{Wc%TBd>i3PWB!qj?6xSy$EZN~xcLsfpweUcZ*9-L56#+jX~pE?mA9VERA%|f|4LP= zym}CcSVN^OlV1K_mSnm$I-sPsDWN9fswq1CB-7=lW~SS!nEy7{tLmqBiVK|;szmdo z02gE>5ho2Mod$Ne$&rA#NJ&9S^QGOS`TXAY+$cSC>7wPxJ&1B>jGF9yRim1}cqaK& zxa?Es#}-TgZwMXxOr))F=*x(qp|q1_oYzI|g#q-R zomHj+y!T+Pz2w@NYVa(8&|*>C-Mq(tVHaB+)~RvPd8?GSiazrRk1kbW574H>6tv&C zXxnWwc2ar$R^ymuO!tF?<7ntAc=QutXKcUBFLc3Voux_mSa%z}&*9IdDoc&B6L#rmNLIjY+*aFA3jw{n)j6 zSpoh71Tz{#uc2X*;MGy>l*t;`A&{*@$6AzTUszj3y?AvdbLq&4Y)_R*T7dKtz2?OM zSlL^JcWgXlcBp&9vvUPfAL}BkE+zc@dE;LpEp#kamZF^@+N)0YWzI?xo+JX&Fo9FGs zT@zqYxGFr3PB31vO@jZ9!aRXWFuxyqBVtNYBy^0;UUVHLVpDGxeOe8&I!t3E3JKmU zfSGZN@a;1A3uWZX7-$cHKFEyaAoEt*6T7O>Ga9_lk*@NtIya-p8t2bdJRwE!^Y2Rx z5g3Q~Tkk{y*lIIKA>uAsM{!i4fiP)!?7bobm3((>O&Q8adm|?#~nj zo++AY*a_Fcx0m8;>a&cW zMF|@+YVDeu-pmNA#9Ea0VJ=-~aULq}v{yNNh~&k$206&gZjixSaAfxM;Av{j@IQx# zg9X`Ze96tc=eD+7IbJDH2Vx*-lD(*OlxS@qF|i@n)1ys+<-^a~{IRd>V5&xPn69v2 zWvBjD1(GZnh%+JtHEA>_&y@}8;=`e8jy+HvB+`bMiCyc&i5X4Sy~3B1sX*mKO8udI zxZd-3<<=jR#or$7MC=2{ig}|1Pb&l8gd-u32Fk{@1`_aK$N`NKoPS#UViTvYEERGn*M(M^x~~EirTjaJmg4J&t?mUziwB9V(4R(-QAu zS0r5`N1)TU9#FMmlKDh^G*1Bms0unnt_+$lHa&|{=D&m2YSX2d>m9`H63LO} zA)|S%1;jG@my=U1=qm zQWh1-o#m(`U$IeZlgOAonLk{2uY0`C5qeT((XZqfu{EME>BM_|0Pc>Ia#hiWL^y!B zl#%5REKlKOjlMrpv@5t;8IPSgqj9IPx++TZwsIavy`9|$7Q-K`{xvg66|U}U=8s3L zEN3Xt`n36TKmQ$tv%Is1@*B6Z4q^WrA^TOKK)^_jq8r~^mQs0^%Rq(NA9KO9{eY6e4K6|`1iC#aFuT(#p6S|P=vDPChy8n7zkS?hNeP~Vkw87!?5EyqmL z0_umt@;%dUaCT!G72FdEE1f82$hRC-os^s6UPcpemzn~-0u@6Ef^_^PK=zy!9=(ki zSYuo9-XJawNb@J*HJ6hsabx&ec14K`%cNt}WU6nDaeV6B)RVwZTap=F9a`yynxbKo zr>JqLNitVeos~NWZzoJNv+YOPwqwshMCS92wVsVyi!8{$Oa8{GhVaTqdM};XU(_uV zPFp#ts~6*m19bfa1jvZ}(g~l)vep_EzZHMqCoTVl@g;r#!m_-0X?Xh0QT(qVGr=E0 ztGB>Xq(U?nVGhrgb75~8Y1_y3f`}}P`w(AqVf&eLP+^zFjoSJXEuH~ihj;V{s39Qe?WD9#F2LgOUUle7#833b1TbvvZ<)wRCH5C%D-(Q2>);lopBcJpiw$gN zZ)gw$4h%#K%*oPL6Dt6cn{`?PS4R67gqdSRbXwlaE<&@;MEguRHs$R|H{A3r&`L}& zUWnE01>UP$DZR!{W8KI!MNLuyP90eY!MpcP^(($=!X#}FCtFCtSbPAB7{0qaZ zKzlG;r3sE14m5fzM@j+;h}3YKA#Q>%JI2r+GyBH$qTC{#PMO^5_ee7jrTN4keN`nl zHYNPNINq4T^u|N`m1d*3a+YujtO8T=juLf%PALjaemNPO{vx&2(VKkSLoeO=DEX?; z&E$KN#*0d5pbhm@0x%#S^3scVppU2=wdMB{cNfA5D2z$>J2iH@Y}=09U<()H%)z=8;$L3`ZE*PWs8m#)`_KBU=&4!58pC`V`+QY@;ljbA zfaLlY=4rDuGw^1cl2#OFMEaTkCc4~O8gK$*%5x+;W5E}#t#9>C_3ttR! zQ%nzVorSBcOGa<#i0=8r;)<8UNszCBjQj{@}0TeGADTSF%09#*b` z0!4KzIur+$hpgvxAI=%OK2*eXZoZ?Gc{HQPUg5-jN4a0~41hIm7T{Il(F}y$7kn^b zQgF7Rn`hzDSg_gw-yZ68H7CM3US)QxbeR6D4~_>V?Sac7a23|UW8352QsCH|9Fge1 zu(S6l2cbKGU?gH&=LOuma<<meIt5czZL8M>In~nt(vRL%tL6 zLpyyoUn6aXYR(x67{|SIxSW&aWZ h zw?k#jn(hKMrUju^@8@p=z0*U6*7^BLcZKvb4hU@#_2&3T3q2g@Ht)&0l^ zq1(SI2uOx^5DUK|BTIT`^`PbS2d~p#?|gLO;GNuExf-hbsmFykVsTRxVOueLdl!Z2 z+%NCV%jK&kv!mL~WF!$IIkLVaJj+`-{?RYj3W{U-AR3(I5vN zfXvF-tS@BXAQB49dDYeegw-DsGNl@zm$AU*60pd&t$e$3e7q^g8R9fO3Ej z@`^nGZHiVPt9ibtKfvMSdOIb@06-Tk8O+dt3Aj%4bTPX%x)%DmEHb#TcZnWNv{I>WQ|NGQf-?Q(3M4mVeX)WNqiw7#ZhEy0OX&~Mi_9{ ze&#tmlWQ$n^|`}N$iQZPLMeZ5y0Xs>{-uGdsK@c~=Bn`;us$49^knB*$bYua>5E&d&mOsuVKW>{wk&XVtd%eC!@9_yOf z%vz3*kz?n+T*%#Rm9JCikgjU6>-H{HT*%8imeXz zyruD1tw-Q>J6;&Jb!e%7lnOg6suVnMj(V*dhJT9SDjwPM28`}1?(2~Lc^7EIVLY`X zj%Hv26PT*Q>%*BCts!uBp74^B0uZd@)6{tq*U8MVpzcpR2SmK>M?j5)IB}DIo*F~RypRkAZ2DaT#us=Gt9WiM&CkaY+ zo}CoMw(Zb*7fuj*RQ%qwUh&goR>eqGpG*%!%+j(@Hs{Evq1z15*u)kFD_Rzd4<$^v z2qbd7(fmUAnrs7UEAWvPco5QSx<8>XORT-`d<7*_iaqHEhW&t71vE$~lk?upl_YX7 zJ`E*>Gg?w~O%0j6HINj!xhZG#>znK83MXt&eyE6*RIfPwPpb!wB7p3`BK0?36*qvA zv&JyJXdyzJqaj15x^*-uTfI)!jZQSUBs<)Y!nqE z8f@8J8&4YztL6EQs4g4ZZP6~6{ieR@&~Clh!?9%bHsA@`-SC$Ylkm_Num^QL zRDxz&w_)z9=z^f?$5Lr7VInC9a+PHtSQadz=is8zlrEeI%QtQgFBY16!y?7BZz;^V zXVsvYQR(+cE9Hog+y^JwOU5D}?(GAnUNpc}4G9lJb9VE4BWBve%?Mn5hJ)4JV~Nq; z_HCY`$b+ZqCFfAS`1ZZPVo0}9Om8@(Zri|7gBp5A2f1DiOaY(~x2Z$e{zb@`UZ{Hg z2;*sZZbQal3#m6kTRhXNSWS{^p1hL(crNwwj9brwXB2qP$-$UZqWmud#K|m=n%c47 z``+BOR&xV80aKy43=ud|YvAlH zHWjJA^J(60F^BF`wQh>$lg6c0!oQknaL5!C9PU)|NAS$s(VZO#39b#(!}Xl^B6&N5 z>moQ|`;adEWA)2eNMlHz*2CV*Kg~b9tK^(&rWjDSR^s3_|5RTIkXC6YX2-ZM z7RfTG&p^Li@MzEt`rM*(0bFBndwZ$Y!8?A3tiPp zKFhY)WTBB!m=Q%SKzIq-KRBT4p#7u~ zB2WiF4iHZ9TsO@s?8ewxq!hZpIGb~}VPA`ecmEH3*%il~bED+G73xY4CW#_|+XW$K zi5-L;OSU4cABlL?(&EMI+hT9(J@7o8W3%`{S^qW6`SE3K6}?}_z!xxN>Whn6e_`UC z9OT7JB==MXe$@tsEAhd%KLzcnt+tbk{0+&8=c6A)3POtUNs{%ZC*A;{F&S?sK>EA_ znF!lAG0C8QcJ-%xu7}0iBqZN1ZlLl}OP>{}d zM#adJ0Y)>&)fHpvDpL;K+x@D@FV=S8a~#SD_X*X2Vjwx%p>39jaz%~DdyJ6g`q!r|B>W7^(N+|P^z$@ox?R=*1U@=os1_KfHAg12>%aVQ1bUEEDj z7Pzca@uFBHo;r}ED9*i+lT|iZgfvV4u;p~lKHVma07a;*{XmbMsQ|wK&OD2nlmR(z zECA>f@IeHS@Ui_^^<~V?*>+3ePQYvnZMqcxJ~C|c~)**oYcs2-Z#~JrILCk?dgT$+v?xNWA)aS*txuB zL?~&JP3agV)_V5hQ;0jmYTfq@$#i)=pT2eXUGur_def;lFo}q~KU*b~4uA{pl_aCa z9|L@KHaOc+1~^kldJyZ*GQ?~O0j(Rg?+m;Sd{6C~w5Qzk^H#zRSdK1_9-+pyUM;$a ze}E%x+rB8T7p#1C$C{e>@Zrv@K@_wor+7up5T?l(Y@-lr|Y}h2g zU8}v6xJ~l=u}P=5LV3LJU<-0_y_9OrQu-WIl0C<}+k&675vCE-en0W-RGjZ5z|kHJ z1)9vuqyX91;redR@(^~-<>-qA`=^A3L;NHpzr0L8 zvVTou?1jRt*4(a|UnLLxER^p|*(_~*VtW2lIu^?1Jp`hFs46()EXVVopgyvDMFs2O z6FRfdqQFvK*gJLZ>^u4=(C-z#+gLEY^yRw7KbOFj)t*IiWxRWFs!*6bU56=sJFhm^M!yb-N`-ny`euk=hQv0)v5I_H@xi-`sVw3g@*24 z+#q(4Pm-zk5H*e+#K}3ns3b?9y9F97Mdy`vl4YO5W6>SwxqFe=G>#{??cvR#S|Zx80#8p z)xL={uVecE!VF69W@W_1w}i&mA9RxD*{>ot`=k%quW zBS-(7s5*5Yy#QC{8i2RJ_tR2X`>!KJka}`Qw>X^t>+`a{bRKg>P{0gM$ zUN`m)Yj;PbDeu0>?S3yDLwh;NC)69SJmTymDLzR$0J$L*c z;R1obY?KBf!?ox#?k(E1s`7@F zs0fU7Y~*xrhpu(m`@V|}g3$Zb@kk+@Y`(WEnoVXpl7X7~ zLtcC&K^A*GuJ?u`LxF|#W)Tx{VqZfxxb>q|{m+8aO^3dYmx*03VJp3eHIF_lEKnuW z+kWD7-gQ5`M!tl=kK8_+Pl#e%EUq12vl7cU;m_b-&%Y(5m$#&95bdQo$mld2XZh#16vjPM{RiF~zj6lO-jqz_%duw2&lk3% zeCockro8>SH1d#n4(|^Hes3OgCrRLgM#luHSu)}6-MiB72sV;_uCPbIl3;QDh%F#^ zBS0FM+ty;wqCX6EqU74((PHOVX;EIh?vJ?^Hxfu8VOPVx;q#`CMM-Zy{Ps}nflV!v zY&Lj4COQZZp*=CF!2Zbe#^+G{wGt@16jJJ_Z;Gc+W_Q?puP0WQWXHYN?OD&2m*^)> zAEe%wH+#JARMAMY#kv4==lkQiJe1B_n)29h1u(fW@YV=^H?f-1F)*Rj4NND!fnezF zOYF5YtSMWZ(IlQqJ=HX*new?`)FnIKi)QF_ih5f(bfcO5`yWyvWCUIii4FK-D}X)4 z%OYQvOtRIsmHLczGOu`s^>Oh&Xhh4-vB`Ds>97kcc?Wy3bD<~t=Z{~D2Te-yf3&vQ z;df$`w2yZ#>SVJU7`?NgEl8$^tbnP*jE~V0yGikgt@+5Rqt^y@LYW4a`BueeabFmb zO79dcw8T^2FvkA~MqSD0MSXv>>oc(MWgV!6W!f zZE%(&J()T1j~{T?R*MhTV;dLE8hAU3P{7`bEacjLF-shL&T@ORzEOL@)$us8Ku-_7 zXT|6F@niG_g+ERne9a3NUWsPDlCW1v$DjHyE5iwaqv%AYW{o14Zjw83plTt44oa3} z`RaSqy&^LE7>#$n>_2ugkxOP7aiv$-JP7q{ktp4!27F8{DGn?4tdmz!zBoy|ThdTF z6sEqbC@;q4g6WC({+~UU$czdW0=QTi&^w&VB=WbWGVyckouo;zIer<-3zg(k9QwYd?O0!vG41gEo_wH`>E}3z^b!yg5SIvw3r&e}STyGhf7n-x zdA&lOeZ9PN2`*iizof)*RC7}4u3f9W+Vf%WhqtkogRzl+RO&ph|N1HXTYR=3&fogy zC0JE(Q4zxVGi@e71W18agpnnRJ2A=5LzvP6#*^-jnciEng?+2c3+tP~Y#arK}0Y=uPbQO#-{g zIKws-ejlO%#g$qCASqJaRaw zA?Mz6M(W%|K;Z$c>E&Mw&8vb7NXWvU0O?`qMnimONj|O4lEa^jLHHvln)zZ@oa+N& zH%qXdphW@va!?JaBQd!+CDNtUZF#Lrv7)8yNwYrfo*Gr06l!+`CHDvT86ka$#O$Pk zj-es8EtJi=IV&00wliATkyVab%o}Ze;TYh59`?mdmSVcP{YCM&WMkw^q{`AF0x~2q zyqW&J2x-0|?jyX$u?r)@bp@o4^&=xITN-Jc?8YJML$j^8)wxrnbt2jaPvQ%_y#q3C z$Xt6wyFDhi)kXeYVWBhVcKxNm0O9mU4T#L&+OG2G^<4l(?1NnV-so0q4{Q-!`E#B1 z&f5PTm;0{{M~DNh$w9NN!d>9ENig^D!Ts{Hxcs?OEkktEe%&v~%`O3=?bb4(>*k-8 z-aU0GTGQBmG--Fz4grg-bp%&dp1r{%TEjV)@$GPO@IZpEC4}PG1NT$ff!}PDV#?UE zI(GV0!Im?a+V{^7*hjKsDn;V|tV!7%F=2yo#U8k6u8Td!^v!Wl4^@07Vj-V6`kd0Rcl ziTlj-4N}vm=k)yopttGDkq5CKAjnT0njQ*uRPrv7ra!N<&_+s)6!YyZ%%0Y$}{sJDoewq0P_O8n2N zyLq)BzeR25zavXj)*|9&tXQ~5v-`=_^TVd&wSFY=9s!>)-TC8FDIx+0KYvcewAOciN|7RE?k zPrG~B$=^S=OX8SmsAs9jp^L>gDYrcD!<_-@&kiH7&w{;sgcWCY^tv?;VL^dg_$idt z`RbjA&b3yzz_z;zc5W?Yz?p;4KupGWm8QXR=8(TK zDMMxCc5O8UZVj+X=o%~=GLhPP$femyy>Y}pP#mM+o$g}%#s^?#)HJ*ekcR{MHMW8f zKp!^mHAOBhHWTRhC{9T&8^KINF&xw@82G9l@Cp+UNn}TVfr^^*S zu|my(AMzOft(P9;-o!-RTfR&R%J`PEO8aP_F`&++FMs@Ww(&w& zffMNq1u_^&L{KyGh>XO-Y1|ZE8jmsBioJkJD3FjuGnYCiHTmh9xf7LbA80EEKOUS@ zp2l#>)8BlO_}A_HoD6T<0)g70Be0{35Q>UVC5v-WEWHj@5mqEIrPjdWbjnQt&I}+j zzIET+^yIU3zSHrq^+PEp;hOLE`j%SLXk8KWXCn4tu2+S(u3ajJ3ti?G6b8sS$ipFX%dP7xdj4ekdwG#vvvwt|^R zdNb?79F1}t@JEriQFS|#Rf+!5Z5mKynay&pM%LD-x0(Ac^~pbI^BYjTcFP2%oVW3= z?!&81CyvP8-UG<&|MU0%=)3)YDZR3U0Bp|eSwPwJcyWjQ9w}#8CnepMtA5`uJG|R( zopw*p#=%KtsTHI_h$Pj$)x?v`(mMdXL5mcv2IRYasWdE1IkshdK-xH=U07&d$UQsw zp!LvoUw@~Di&rgfJQ-QZsp)Il-+pHBlTDo$Lmyzb zK%Z}be>`_=NW|cw5hKPqBQB3HL;h%!#!>YSin2-X^7MGU^YZp(PnA={V@>g&o>@)% zeq6UOSbx-1pf@D>7L%@o|CWh8{uYc^=|UlIZjGpH2FK!V;_(m7t+i|E?5vneN5OO*UP#s-oM%<$^JNh|I5M-$R_7Lirt;q~N~!;iizrLr_5EAW z=LZrb7%wxL*HAxHdu|bGtpU!oS%&361}A2L8Aac2Elev9({q9Tj_mhEtvNA_ z?@3d<$344GZMll=r}tvMsyP1mI%N9kOS4t8w3BwG(4H9<`Dt)K+KkERg^#8Vw ze+z9YK^EcfA#!s6ZQu5fRT+~-k>Kly^R~TD!~sYVmQpuNV*5V?8>0Vb0G_0BOE;~- z7-^a-)9}coo_+c5<8NbSK!hg&0#V6f!t8wn+YnGAST7N@gWTXb_*UEhd3gx0Jie~A z2=~h0bd%*@$G)_NUEPU{(sfI{x3cVa6;9G@81o`@w7nD`TZfN%SoSd)fJpOyWc@wn`8NfoNu~_%jT&A zpQz@555gyl119n-;>P6~QkQLpdpOOKVTFeCbl^uirP>TF))2=4%BVaZeRc7}&~eM= zY}NHCK!`xe}BReeAL~QqDU+&*^v2l>ffS!V^6@XV@HoP&c^| z3+tQ3b5qRFLRSnozwpQ$htEbDO3XY?i8jqSB_+F8CPA@qA1KhXfn z3sg~?aGVvH$AAH}rB3zXmq^#4*Ahw}l_6V-dM~fS2tG{<w{7YtX`(yLwQ}kZ~4$O^eQm2vKu^T4dgG zaW<^S#D=97mt>8CyZf%Xr_xA{Z64Ec@TB~F zQlC&~#JVc1mG#-FQHlHj<%n0h)GY+r82Y7t=5X`H+w6BI^XD4B0?+Z+D$|D<>NLk; zJ1|*)W`bk~OdOh$WSTC{S$F6Nuawta)oYX2Rv*}Z`;)=B$hV)F1S8mCyo%t9D(iz} z=mQdKLL21NsxUP4_2w78)?A#?#gPwusoF>7+QQtZms8o6nt$u_urDUS> z9Eh!iEKB4z486(wV5#G`V|V*YF!OflYQ)x&u}1KPCI`Ey>!Lbs6swY46{{%^A~;U% zpVXiFoE=J3k|=_PLxokhcpMFh$cX!gOF;^%HWH+b{?Rn{=xvreglnDXnbd&gv+=rk zBW{fL=TEi8$hg$K=o!q01H2BzSTMIGoFhhezvHK@*=s$QPS!q(zg5C7StC5;;OmQ; z99M?=s&1!7`~GFhzBh@lA!_nmluRQi5aE@14`s+aeb8E@wmug-PRyR0by}QXdL$;O z&S2@aOZYeo;0PsAq@6ZrJeps(WMWnm;a%L{J3SXqP@g%uCw0t>spOU>k8`gTrGWI( zJYY6=EP{NrXI(E|I%!TtdEfo)@hA5@bjB1fTnS1ZvG2hckhyiqxQOW{c`O}F4N%h1|p_!PcS;>n0{33`VyPGH zEXX}$mr~*HGjGxS!Q-)yCCPY>^#0hjpA#3Re}=0NoaOdJ0yR1Uyx%`7HSiZ!nTlfj zkfLV+%I~`m7&pm)y9z9#ahNN&p*nK2WWqn&l7&8^z11h_I#r4`Y}@vGnymm@Zl)d5 zWA*La{;OP+ue!Pm3ZRDHkbZ2?56W7bW)pQ2BcH1X?hSYErIE-9EZf2R(Ar7 z*YNc#VSffUSkvfn(=ijd>64FxvkEQebgN2DKKerC0XrB3v^;TvIzdCKNNM2l-NG!_{K5lSp=*B_vXdtW7XTsmcR~`t{U#)~jZUKr#B@B7sbZ_r6mG6Ne^JS z3>PEykR-j^y6anHS~vU?C${a^)(R=~i|wA3z2N*17S1 z7;6`DM2tmT!(PfQX-xqK>mbQ5Hf`=x4gbV6vuVs^Py*rl>(bUdzaQhC)3Z0jtVLr} zV|SSo>illh@^^w9hdrx$LrF?TgNx#-jX?F7SIwSCB<#}4^J3V`f#V`m)1OoelHx{e zr2>Pt%Z-^r|znO5gsRT*vu6+AX>*M&`cEC-1CKI0c)aAQ0mY_@BH@?kNy?Bao+kSz4VijAyopq+al zzQC)&fG+v4_x%92_f9pNP)a_|_>%&i@IWMQl_L4oK}&7~bD>eC6hu-&BXlq^Cd1-8 zDlV5hj>kTT_IcXE;d)#9_`-3Z+gwd%kt`l3#y@~XoO$9G6G2{QbnHa=g97&nYVUypSnmK zZ}(5{;1VG~WAaD5xy+3NU#${YLtBzJs_Mf;uL@-Tlz`7)f;7zRs`-asDHaxJ?O317 zy654O7J>2`AYkLbqDd^c~Ls`O2qr)`zqb~rjbJY9U@)<<6y$A zYb0pGo*%Q}xmsO1Wl8@sv~vI5bk_CTPcOY+&`mi z!4x)HAvC2A&Y+{wO4qIEe)#c-!;h`UR0NN7Db@~XaT5}b($wiF)${8xlk|3oz|h#F zvPzS~oX3Z4f~(3>{K;IUZOi+c@Z~J-P{>K|LNt#P>=ef8yN$yjZlb`I!!nt_F;AOG zx=vR5%F&wX;3JaCdZr7h-1dgo(T{vh?>(3ncgKUVIJRTWp>pGmq0<1qp@&^*eIYjI zuq`y{#X}v_BcioLCh@&p<$UEryL`jXD`pw)4Hdi-IUW*J8I;2NpHn49TlPMZi=w5i zSB?q%WGMRew9WC5h--)H;r7@kn_(5>#_Z<|j^=wvX)xP*9uc46sc-be1i_$wXi6xC ze5?>`d$jt)3-(87#mP?ff7*A~*A!I#!aSqHE;6`yX(4I3>!4~=;i&=FbxMlQRhbP9 zNIv5jJyk719KhlCgE3G-M=7cCi@g}7w7C1L#fB?Oo<{vk4n`G;Uta3<@szl4vF4Og z>Islq?@!{x`v%jbNyHYUZN;!}(fxBV8<+jRdBsBF*4GVgw4PeOWupNCc3^9GyjQ}c zZ)*l6q+kXZTWg&Y953;9jx+nq^f25xX5x+X$(_dD_nQ|rezmbGetxHq-T+ZYR{D1Y zJ6Mti>&ulm4){Y7qQH?Lc7h9pYy)-p>#-a z%Urcl7eZ2RaF1rbd=`2w0v4Ix`Idgdgwed9 z>XxCnJFZU_APT9HoH{o(#hnk&(Le8b8w6^DYQb%E5xnm@K5r#&A2uS9Uuon4Ay1nHp>VA-P?18MGOV5o3Dr;2ifFuAs|Pa zHn~h@qb|^OjPLRv+Rl)JqtEC3({%nXU1zYS^nbBKAdorq3LAr+hnse}y6xL260er5 z1?JT%<>;lu%i(=U5R4<~1)Ed6f%N{H`JWTC z09B4`hls(I$Xl^A3li0$?zi^`mCrhzef+%$3mLg@{ZiVX;24Xz^E!7Fu3{h>ZbhQP zssV4(cxzRoyuh$^V_N!yG>=~o>>Z|mEZ&bT6+MPv7jvFR2d!~}Vxj5MBkaC!GKxPZ z$-H1jrx+;fN=jl)i+5g?+g$diH=V`_2dmMuq=^B>`7Z^)E4Ry}@Qw;m>G&ewbz z@iNblAS879avBp-4KR;uYlWDkSKmu9dBg3S_vdiEC1b%*cWx7*;WuDLN=tSoTC5|4 zW-a~tlJ;AyBs-QyG{q1@&Ug)I~d0Ot0MSB5q!pr?8~zuoVPG@KG~{G7g-6x%9x zO9&)u9sA+u3IehS5l6;ZGA>NDXT#OBc2p6aH@tq@YGT6CBQ%O@W5rlrlk-RTt^J!W z6RhrsLB-&xH)xJ|G_4RKcU0w8sEyX>ZlH!wCVuwl>fzxWmF09?-p4W7nBb-)bz*jn z=H-^UBzV@**cnOt(t|9rU~Ae`W3mfALHKD8<;sgG5JB&NUFm*?pq=tyo@e@9W!!O# zlO4@B#L!s9a#k83!$91@ZfL2r_I>9!=ikabHy$%}p4abv#B{{rLz+oI8EteABogwj z)3n`x{ILN80zsboeYPomZ>~LP>P5{y7qKF9Z8-iA) zFk#viOlyd;nX}O4ZS@bB_Di2mf7DwR4Y7Ql`{44!Y~1*QscA039u$1myg`@_9m8A; z%(e8(VTo3HF5?idHzlz2#9hj;a?;HETC@BuuILu*I6@rJbI9Krp~>g^9n7;fE3c}X zC%t-0?I}AR@o`>3&zC_z=VlxE+A-CAOsF%C7rpqZ_GR186*-CiGvqoQ z*>pC~>h9dfm(8nfraJM(21X?N$S>on(*x=+f5L8$sZYfgCc!IHwIdIi6&;!sm{5Mg zGiA}^dV}-B6@T)RCiCK^79w``94*pqHB|a}YHuc@x1?V`vlU{zhuT2^nXOLOXe8$z zOb9VCHAGwtO+(oQ@sF`yG5&h`r1Y!RAr z^Dep_NdC$#KpUe#)*%`&21Yd1o>^>uPEt2+JmT~YUI7q8Vbzl{JGfOJtC~tn4 zv`c}MeK$)*uP(u^s^GbIp%Vo)b-jHv!SxjG01Ph^vx%*BF>iJxU%1&!5tnZG{Gf=M zZ-UUZ0i|G!CP?Nm09?p*l>K%nyXza4>*2X?)~mDm#zQ2hZ0@SORY>P0$Qj6sd@8{y zjtL>`ghpUb231xWUu=?dMTP@V_Yl9KAfD*76;oFoOyl+cZaD||h*&xIL0X5L2F_3) zSyc@6xvS!BYZ~GNbQ7B=kGklM@U&ya)bx!Jic_5pqF0jn3Qyh=w~gn{W7_INRM6b% zXf)!yF3|<-MPk9of+fsH!+E4dqG1tiHQ+<3Bh;U>%s}P6w6r@$GDW(ob~b~SNOn?1 zimpF96L&I<`B{MfkUOkP)FsodW__&qb)!kfV)7fqt)Z^M+=aIjdZzjJh6ydG-&b`AFKu>wQ7TsGUiRCGY%OjMyk~~o=PXeW)bYjE`|R( zsSlQUkc~zocBhSDV7_z)%z1B~1{=lxeUSenBJqEKw4dG_3NyehX+AOLUz0vrF}af_ zlLUqcb^X{+ENieKLE0&)1i;=k>g*>ua?XDM+IFq?F^r2&peZ#YCE+VRVZVkp@S8gn zAvvTe=|XO8vm6E&St6oMwZMoGalbChd!O>T?3>jI-9+G2BfOB@L{7V0^T! zbBhEOUjO%w7toDz#{h-V`vnUV!fe6-#S#4I0CT`%f7__3;lZ_yv{s~A>k)^e|)!QQ}w+4FxqS5 z`tmQTrln478KiQ?V!BEyZS%Fi)4Vq_{Ra0SltuITJT^%9lepA4TpgL~R5o*eEbTC` ztV;&PDF8AsE2@}&a)^~s6!SGUg>{;yVeSd&h@L+^e6bm^g68|VJE~9OeY?0Oom-)$ z7(s;c7|5`TXl^`9u5J;#H)Z|8YAw*v>P}@{nzu#K%Sy#Auc416W>2!O+_@0WnZ(b$ zi!zP44LIWsknEj~IR-3djizl1Cwlnf>du>)#k?QlpUOd{NxZw{2}{9((%QU4?7Qpz z`D!`5e$~wxiK&%n?%DnxSKp;mHcxVd9AtYg?g)kt^m21T^)w~C@u*Y41Bjp>M@M?L z3Sc5e^h1B!@kSlH=TFu8QKNL@XVS<!dRTnNL6h|*-`M7t zdJ^#3s?BYqtIrISe`k@PcWE4WgTjvA6%DfbBb9QS`SC-o_k$;MGEHh_jBDUF5Z=g7MS>Qm@~ae*Ar|P7)z6rkP@wI;_OvuyN3wN_Wm2!;l z6^WmUKNX&4Hv5<9<91C(NzOnh)CD=AGGD!+f%bc$rxueCjuUyI;+^kgX&3x7Fjp|m z>Q5;sU$=hqk&J11OIEWU<1ThS2U0!|t;LEMuG?sc|B?s%m{iSF(&-4)TJDXvs#o`Y z>)thmtFMcD|L*38qnDfyL5Kn5x2*`@@Wn^0j~2ml!@4dyXJ31Pm@cZ8Ncu%C)B4um zbcS%@m^hXnZiGLM{#r3AS#f!80t^`)Tt)z_Y-?$twrhL+&iT4Z>vY>~)IH!P>AqU+**LFau0^Y7k8MpzB{}dm zrgz#>cVnL;C)tVv#)FDnwNClv4g4!E|9G-nd}VXMVDyziUd+ZJ$QSKj|4H2ttv{FO z-{x`3=vYHNAxR}@UDeMvD7nQ)ICSnbHqlQhcNRVM`X%h8{uO&_R}f5m4hU(>c&y-B z)GR$u%KIXnSK!d9fXux=ZPDb4d0e&lm&xD59j42vRvnP|hazb6n()DV)ukjp1B|!R3rD7>0P$=iGu{fjZ%=RqRT|FmSZ29%%UH!_* zA`FgmzJ7)C7LVS085)2?6t9t4ffowJb->=nEe1ODN zO-Bh(kHq^kqmnkSyC3#nxv|lKdO{S-HkOo81O6}&ymlKb@8O+#w_^L{e??KH#je8tTdnw z8B`hgfJ>o`AsttV5`k@LRNOczy~C;4DZ&wlJx}~Fp3lF7jKxUg^$^W~Ai~!-7zzAD zHfbWQEg<`IuhszH;rEGtUAND^=mLa*BW_|!v=n|bwRq3a2gl&UMLqf~Lo_6fTyr*5 zJo2f>_w3zmu*nr3*bEbEx}kSf%q25(WoCeJGY-xpdcHQt{RAqRpWJ^Q7~i zbY+6@nYy-llV^X)skOFCPX~=kzNV=C2C~7kPruk%U~IiAc}DYn_y(`6>+erVfd=aE zv4|jyQc3$~T_WU^<+NkA99bgJ++_H@=L@?#kKYBo7ZC9Zp1g6TH=xoRJE;)Q-@wLC zo8FBgHp1^*a^jv1FWdXms5|=dOyC;G0BXJa9@!(!R;o5dgOXk25CVQ_xb{YtF=a0$ zJD*O8TXhOGp2=KoFrO#!M%}ZQ6GN;ehK~F>PLn$sPZTlU*?{%ch%QwL=Qux~jvb!W zfM}b1dRc3E4LL=mq>(y4l88p5>8-*M5nme;H}=E?j=!u67O7_WxI2VWbz0YQICExS zG%l7M+5_D%C&p>C+3<`c6C%RKTd!QNoT>AU!c^`^3wW?zdYMN&s&i4HdX9dSWI zlYx0?C_^f##V8WT4wobvOgsB;6r+_-8J{c4XIOpJ3tqH+W2}Gs8q+5-Z3X@cF!;QN z;-eYOE6Ey+?pi;(Nq&9!)Y2s_$z|8VMVP;?wpMTPm3h2z*-ZWA1CLY8u2<2!vMn|m z@!r9qtB;q94c|^#l;B9q&C9BGI`Aa3kck6*HB`hP{8gs)+dz|T*zrJ=K?T+FfpMrn z%;h#TFK@4Xw8;mFE1luU{?rr9n;W94B#^a%v4w_uEt#N?=ve`4ucCjLTU)O{&CCqG zeVgaiT_HKFa~b2~8Ci9C<)OW;+8&dN7wMG~jBMmfByirSB_SU4I)MezoQWztv`b(K zbmN@k-Usg|tXWGRU*^cwoqG1|*@kJN2M5nFLpYQQYFJw4x7ULn2T4(1&9Bb z#fcCMVlt~8ZSX!CT~3L$7O(D}gJN#@wp}^2`BFGL`=?Gy_}B;2bK#TH{treTHrm$H z`Xo1Y&K#DWAz)FA#KyC>KPN2x15XSlsJEZST|U^=&3(UEVQ)IJ=;F2R7ddztHCq*s zoG_MCHQ45yOuF50BpM`~HcLN8E*?ztBW&MebV{rmOiSvsmqyJhT;yEZB z!aseAm`59(d*;uz8%)e+nx8w(JT5Yl%?!azk~;I zH@T$8K2c|N>!+TAg+nF^x@RB;AzEG!G7wQr7Come?W4{{v}=i^i2X?J`AB36@NXep zx{hxmsxdyAphfS>`(;?^%QQMIH{CO?5BcK><7kxeOUI9HOqTn-sl>7O4@=z-jiH~^ z75DOk`svnPyZPtqUB(JJM@wR5N|N@N1cHfTKGj<6<6e?QD?Wdj)M*Cr$*AmUDb61~ zX(rh7J3?+JJ~6;H;A(*Yqp(KlC%V|Dzw@jW9#oN z>L>Is8}t!kC|hk ziEMFV;C4=e@GbtD>-+rfd!`mnBMJXJ~h#=LXs=&0}dW z)^;#o9$^1MHta|J{5f7(@&0b!6Gi0qV214yzbIpcP4^o8Gd)V{6j0xiEl}A=UPn2R z`}XSB8s%$wx#e8~Hug0yYF#p!J1g zB=y9HLvz$#YGsdZq8RT}|5uWgjZZ~ILptvPXvT>fKY)sE!Yc4SLgb^NA1^bQs2IrBK~PSoz~wi zb<^g24(AnW)_7ak4P7yX!$*I5VqLEk8eZ9ATfshRBAm}ZQ+0@no8zf%BrV5!1^P^F zJ$e<>7LXEidZ%_;EIr&J(SREpW&Jd{V=EVFjUpMio^jN=064mXsap2Q-<7yCf2uC7 zW+-h?s6-qvex>_1vLv*pU%!8%I^PIe8SYZ^WXOQ)8pp|Hk?k96R1b@c zrsst(GKP3uNm|$IOs_e9DT6W(~-9+ylGJoE5va7id zBK>w!=xNaW+xXj$4e>{|f8@1u@?lcd)uQ8tani`;PyV~@a)UcV+(VdO1hEazM>{)| z=tw`4wcBJb(o)MTp`nK9W!Ibh^=c>Nlx@3cD6jrwocju`=a)dix!^#TUz(9 z#>t{Oo`=O1i&)q;WzL^4DmIS@Q7{vcD zT$A^WIY2f+uMZH{OSyLKy99mG4qe*=VGoj8Ks8Nqg-WSkj}@gCnYziMw3ch6Cekg> z=zYk$s*HYAF3cfdBuQwu`1VqtWWO2Dy?U2T{;nM+P^thE+Wg%w0nez$&svV@VVviw zA7#8urxotR+Sc9exO7Q9D3%uOyrL31HCi1>yYc*oE+>0I$(q4(Bw01_-H%S(t2smp z=4n=$Q&vgbrE8vMx(nPifyae@`SWH&&;_;v5Ti0}K!|niH>^?i!3aK6)0lL@OZM)_ zU#8uX6SP}MjPs#jGxveC8xl{q*m-)3aqwt-SLE(#^A)sbJGcS|Dy9?ulcnv^5YI3{RnF zh$NGH@b5e6cSex+V1Og{ z=tHHttFK=v@WmuW)Fm}$uEMc=1$FMmmY-CD;dj6IWk5IvidOj?s~*wRfvAF~_ilYq z=+e}ame+dKK@mk|GL3I8H=W<@LTd(OLsCmrRYzrqHx{zoC0kVcu710)uJ>?a5525% zr+QpTQ$+2D!+2FS8Gd?fx8}M0529)9$EOw~_vI^WLY+eW3X}-SOJEr^ut8iTOQWLT zZ}C}@t<{#5!#O*%8}DQ(W?857>&2#oQtYX5Gv<2N_8*Sp*Zrm3^7OhxfQxJ-^x_WD zC;fYM1VgFk^r_AwZC6|39|@zqw8OYewUTdO#8P1Z<|Et;JTl0?>a^Da z442{xWq`bRuM-Q*&62V>wi^l*l{212O7O47-^`R|9WpfI#lBrNGfDD+@(taW^)#ZQHV?aMxf z%v1h6b^a^%zJ2Wf8TEmiH2Bp>R`+b5+6Yw?4W;vz02~ zqI~13FB8-H{l?UXCAN?=etvuhZT7X+q2o#mZcC^5FcAjn#E2L{dt}p0QRlKMD=Cf} z-V?i**59^1Ha%A|NUuP)Oae9_TTf6HzcK&=vZj$_u$`d_A&`wd#Sll%uY&ckXf(ld zLl)x`!kAP6j49vfJy7a477y#+`V;cbnZ6AJKrnY9ArJ0sObBM4+W%!jnA1QQs_pYU z^v|XL8Z{I&irSW>z5s`-21Q$=VXZitxYd76vQh98vb_g*3pPgm|BZMajD~Oa{AK!I zLtgyLRFVo!3|yvwQ7VTm-hGX%f+t;t?g;;z>34{LmcUDHzyigsn@=-Rszc(uX%GGx zV|xrRXlTIXzrW1~j6t#Bkat$L(jah#-Vr=pessV~|H&N=`-{ky^nc&M_7|Zog$!vv*1t@*z*w}&9CRyE z+3U~$Ul(6Vs%Avnw+_(6{#|?oVZ3D?xsXfKg6@m{n|2|PO|@Vwrqd6M7&6KKGjwhs z&jrY?kP3|zxvl+|$=Yc*m^!clx}}b{Y#qwMxUnRE7f?3I1Ps@w0PDX@cU3^D6N6g` z3;bZnBK~Jh&QtXnizxa5jP#8m&35E}n;~Sa61D*IrNjj|RBB zuajy2*8FbMUWYzd%`F6zAi={8^8+XZU|X-I@#EUFPm|T7wN4;gt`QQr{eqqkR!XN9 zso2)=Uben@^F`?Vdv@^_te{lKrhIEZ44u;0{l)X(h^fA8G4ehs;-t1r_ljN0VxO?n zcz}Ar_Qbjs+RW6h9_+$E!x#QLkNfu(`oG#R@>S+UnfE@kZ@9csk$Ix@EQ#>wm#GkO zg!*`Ka2dRmu7#xS44cX0#FcD?eP#x`doiO0$E3xK7{^tyZAg9t$yr-e zZ9kJ?y+OoD+Ie#SbiJ7ElSYs7HT5Y+JM}6b1F6?5zl=qDwO$;jjyKa+Cq^g{zsgjBiV%20+=u)`B@bJgDPqi&u%pkqmHv)YAapR_r@4|7{aH=OQxy)U15giNfV9 z3UNwuu7ywNrD>m&|E9sCU2^;^q+@h5^}FNT6KpA_%)aZEqMiDYaiQ;0S-P_*!(wx-|or7<8)diuiBU|ix_;+%&M}ILfG_<-rDV{!OQ>G%e zX}$3J(ogo|Vg)ZMvK{QR&)X%Pe|PD^)5zwlLZJM}vEPly;oL|-eVQQHX*CdrI*G)4 zS|LWNY{X*P%N%_`h<5DI!103K*ty*VH5dI?@7wEN5v;`4_!#U7B-?ph8w_Mo&;+(R zwX}feq~%VV>m=V+DEq1w|Csj;<+;`Umi?qv!5+mizPj@1?lsYS141U<(I@XRft4r+ z%h`ojGBT)dJjZnIp)c%3sr|cwLVo*qJ?Uh8oid=>KaUi z!tYq&<5U*-OTZL-5XHdTTm~+a7VR2YgQ7$aL5R>as>u%r)r>gc*8vxSm@mK&_Vuki zS@W_q=g@l4N6gSsPui%Fu3iY$ z=){j<^&2k%-1sH1cFuYgh#;-qC!s?swvIHD%S{H)4oXx(pveg&>f2mk0L_@;z>21yFANya|KijJyueP^j0N8o7CXd2JvD^I>znZ$kK z&;G@f;ckD0sJslLRNX#>YSyT)AftQ=#tj4?&&dcBGz;e!`8dUPM7Q8Zq5S!!>^tG7 zlPsQWnA#XXZYof41Q?A!U!T0yisc8+4Kvt5@*j&EsiD98bJv^0{BSK65o;qI$FEFX z&R%M4+p=+)i|-4jnnZnsAS7*&Y}tgWKD#6+Om7|~~V}I+Tkls?% zoxzInj5{^AkNYjwp$v7*4xU_o^GieDhmEN1xIZ|{A?Nbu1?Cq_E+wMt&&7uZr%UgV z>V72^Om+LozYSJ6OazxG4lt+K0k^0R!KG5i&VPZOMA{*PviayA8494WZF;+yHom<& zqBtR9f9k2f*4bgygQ@4|pVvo*J$wL!@aTzP_!uYB!K=cPw%&mP!|70Fs6Fencbn0aPkb+%d=fI%K4#_O~2C^}|m;`Oi782rpUr*0|37jN*?vp>Qw(UiEiI z>!C^u7Enm<;GWE%cMVa+u>q3p2&ExqID398Z+th03Qw!ObduFM($DrAv|rypa8P_q znT`anbGOS?^xYmi^G3N?dE;|3-KvfU^2f;Xv%$$FY!wQ)YDKZ7$2M{^T5)V!&{tcN zST91)U`f}C7p``*xNQF^a*Ew#YVl_=y#|; za%nDqC;w}g#EGEEs3ztHU29k{=3XNYSvLZ6?@%@Zu9GG>wqN|b(2}0ly?a+)e_=*D zap^Yo%Jr9TbU^pQK_6BXKnD(PZa0-|K_@mGO+jnNXhc!$1-7EDEHT~9;{6xN@nhI> z+ysPD14vRIBDz5ro~?KW6udd+$mX+>WZmYiFrUWjq}Tvx)30JBS!~kkra=6m+#5T` zFYP~gBpo89wgbj@)TnO|V^?66f^oanw}&Z?^aN0ZanMCsHLVQLp1+LGyrD<`=9az5 z=dPZ4b+STBhxfy?y&SgI$oTaiKYBa!j(J*oI@G1`mUp@C$ZsYx7bHon{$aNhO~9}s zx{=MC*cZr9XjT5kw=aI?#C2am91G~_(%?(bjV*ef(s7s_m(wcbeDU1-!KnPYcb7v@ z%IdcgF8rih(G#KbInXjtVnmQq03fNy7=sXSE1S>;{heaS9Hy?v0ewxedB0twQ}AmU z6uC^p#>%m;sTo%9*Ek=&xN6Ylj=6Z;?B4P-{7w#Xfxd1ks~lLyvpu7bt?$R}c=XV4Ey=oUPBhxMn6|Fp@;}I#7Hw zv`gek(HH=?|J=V!5vWqvCKx}s8#p1IzL1&m)giPS)Kj3ln{FETh$=0?G9&H*PjCF}nd`bv6ju(v8#Hd&4nHrmLCYyV2a0_M#QsX& z%)*(*J}O$}%r@gGugw5>o~;0;pgazi#?MBx$Y^{xvZIi1T)ty1H=1ypfl z^G%F4SX^s@kgT{|JCJ3#b#0P?9{6Ohlg2uY0XtHurjmbwk-vMOD_81no<9cAzGMAx zp84X{h2;Y*_y{?ILeiB+6B_0~J08YQlLMb|b}CrUA??#7A-o9jwQ+72dxD1aE5Drh zB{lo%`pB-c`WH-7Nz*=s-1%6iEkGf^MTM8qEj+$%MCC;MI&@usOA>6$*r<-gz~5kO zy$Ny1PV*}ZsysHU=JHnS4yH)ohRNXLq)7dE>Dz9mUaTlc97Iav_!8#?vvVyEnfwS^;#KOf$LUg$gv`Hm#H3^Z?@a0WF* z)?pP1Z5yulNXQnWV4EW&S_;mGbKf62PTAhdjnR;M)5VI(eOuTS-~8U?{em0!TEhAs zRh}^b5rn?L0SeXYm82NZlo?C}zUgiqvVXc%{dJei_T1HM91M1F!C3`>An>IJ=x9xTs-Ep zoHRCWIlNVDBNC%;_hixGclBf*C(rw_!T8vbBh*gnDaPm&Y8`yRkI~>pK=~IqKm8Np z;_Oo}koV!p0eeV1fMkPUjp9>dx7@1oo|L-_*M%j!O&z&4uJ#94{!axGRD-Vu%X1*s zKzgd-Voq_R$277j2a(EK)p_S=3V>6iK+Py(@Vkv%G*H~O-TQHV3)es@jdtj{^#e{ z{4Iv!FJL4%x1{W9x)N;~CUrlt~)9Dr;F?O=f>Me_WamvXZy* zBh%E$2kxL``mubf1CUMu6S!cIdEGlesgT7&uvT^Kd6+j8Rc)m3PD0|1o=tmvde2sbZJSPuDnr_l< zsS>V_Ls()f4tWU{2&3ulrJt|D1=USxeGge-N%|He(!tU!m7RUm~Y= zC^q1AyoH~pXZ(Ba_sdr;(?e+*gv_oY+H((b&uNdRPkWroZVpOqhfTzv+zfmNHDJht zW4yo^e_?KaVbkUktB4duAW6)Ev44eCH zjhFin88jJ;vk{>2jnY&rP-QM7#@tqP%Ar*%6At;(DC%A#9_njy7s)NIPS1CR+ftwS zWl}z6wwMW2XGA0@W1Ob$*=XDqF(}dc;nLR5%+Dp8iur!^pem#tQ4T0DIKCAFFvbZy z*@|%@!k>B#P%*=hP2<1Wxz8_=)w(BJs;?WSW#d*_aDA@nMqG>n1M>{#%qAVJOt48c4fg?Ig)4Ee%BeC&*lwd z*)$b7eOjXMJ$M_{8GSe|%_CG$7SoKOMsuQn))HWJrHM<1BKmAVn=FEh41v(!ufObA z>9`SAukf>0o8`H!1aC1>v9FwY0nEgL*J%&{GQR}@70!-;cUcwsQe`5Y_@Lp7HQ>@H z;V771N2$(x^7On#QqRT}QqF@W?yQgR&WfR{9cgPo6!!r{q!$QXz zOTqa&*ubR$Dpds01|yl^qBBM_onb%2Lx|{;K$KK0yPBAoD!LM|i?y+E>~$Y_b6Vnz zr>sb$F|B~n2_cynzNNgRe**2uCX;Od{~Y)Oz@MDoB!^cR8Bx=J=5q3ottM&sarG_H z7*(=b3%uwdFAEIX&HA>w4F$;SHq#{;?Bwr=HdI-{dcGr7YtC&~c_iruJmr3;GxMQuU(>yTpg=)+x-px{TwJL~A5LcjGR26QShl*iFVP@rFIc zoxFrDxmP3pCg0mrx_#-SUQ@PziYVxhwFmV_^uo9*xTmH&!L92S4v-U!Y8r;N5aVHs4jl0) zM-x!%t%7!{X3o;(2E)l-c~?P|x(DtetZdYlh5-tQ3kJ8rZ_>g1bUqVW z0i*FP-vg}ihKSYttPoBSs9NJtjB#_xxNZ4Z-tO#CelW-g zXH4Txs}00~6L-a~`;$@a0^}*b^mbguR=Wz{>cv8VCnc_Y=C!GhKb>OkZI#VdIJo~j z)_eumZUmekDPXW}{!uShD+K%QXEgkHg-!v}H7->7D8fznZ zH8JKjM1Em>N$A>VmT$$UKNDAOLWUtU-U=dv9gJC77r?{XcNnZgE*6aML=g)8A#Dhm z53f(Cal{6%p6l({a9H9IGSf7)IwaH=m9jJ&g221ToiMPH> z&TkWq%#V$Oocer4n}{);j+e~OG-}V)Cj5ixxZoG5;*8(e@{&@j3QdWOY%>x9uy@Gf zDB{|r5d|IYvLPL=U$nuNH2tk+`=zGX4Lxb)8X7Wm`COq_;5?Au7EY1(YfYj0ypf;z$(5AY~vR zMg;`NKo|jmU_?ZbqC^ygz+gbSAVnmosPvYgl!%BBNro0k@f~O0TW@~MuXEPDYn`?3 zS$FTV_dehLzIdom76;;H1x{*O8x}LJDBR-7ZkWQb9$g0Y=`~!&s-n)vg=erN>N#d` zBe*FyRyv5Y#Kk|57E$QP4W>W@I-_;+_A~nHd>suCT$aoh7O!vmk|~U0GmAc~U27%o zxAs`+wWlgBWvkTBh&2;IVuG=dp|f8=v1*fwtLOk2{uL0<7mANMZs%SDIGTv$)&TU`+bltOFFD(*(Bl9(v&KQuve`D2G`FVQ} zsAe;1e`bA%oxgNWjhD!EoEq(fv0WyB`AQH3$?l0{PAncVnz<2Sei_YIbrH&#QVAUn zpzH*`1*?TiwS#t%yleLpTyi?Shh_(vx4-&wXagJ72ThGVjg*RZUv%j?4dv|+4r`_^ zQg|o$vVyWjJgk`8>BUA9!SSlnRqXHoI#ObRk;Bk>OJr%@=U4gaYJ=3|FpPbau_CyG z2MxnbZp*i-lJQ`ndW-yP){qsNI8=wc0X}+sC6gsGfcot4XFJP<2^zdXJDzI z4p$jNfQ0!OWWZ8JU&fk8mSt$0Y<2`+={&1P$_o>l)-A=9U*X`E@ z%Et10uMXLWC*n=8eq1x{PH+m{_xIWhL-qxs2-M_AFNI|J~VC#;Gb z+Vs5(7(EZw4y!6xNS1nq$XL=l$nI)G8#TJo0|`T$2P$?x-bk4v*q@bl8`pY4u#C+8 zU=;m^NDv)7&Fqr zJ4FR#d%Ie}kEv|o?V_~5My^_Odaqlg8l;&dKBsp3zm3g(KlZAj^gEj@pXm!3V*Lr4B15ifx@I>(k*|--UB4!ph6@QP6v0Z64t*x_o!g7O< ztdatQC%$bwOV-_XFTRdVrIG6~$)DK`B%vODYvv4^SlgC%H13TwVj3}EuAk(lqeDJa z+dp0NVYW-VF~=AQGZ-VX%(~K~K4GppK$&n+JVroq*vot;i|%vqh@66H6y(CAN2_lx z)miFYg+j_vYW;_EU+sC5tRihVRp}{oww_$2gRL4}M9|>M+=XsJZ7FL9N4J|S=YVFH z9vx{_Ucfn$Dd8CjuYiuZ;Q*3w0=MP7(M5dKungBVt2edSm!%r6;y6kbdhRh z{~#{>AA#Y&jV8wfv9qpOIxazp6+`53VrwGcO_h$zSj*rpvoohMQ+zJ(rEcYBA7;PR zITbSQxAxUOuwBAt>{gGljlB;vaQEXxTSXPV7Pn}MCHA7#h!ffga#k*;b8|I2+)w*k zY4It#QzhLb7nH zLEX?p`nf<TuXomI}Xz`A`VA>Hf6=FHxV3!PCA62fT_gd_2ik3+Dgbn=~yB9887x5VbDdoE2HPJfl;w%~xs6^{4Bbgj92V>Em{%kY#V% zVK1+Kv1~~=^SnQ3RsM?7nN|OBN_MARR{AdO$TRitM{-Ua(I)v-l;iIaG&wp<5;|-X#JSWLK=Do?o#!kZB-geUmEiYX zTkdq+W=&AU%U&q=Fz-QW9q>wNK%H{mw=Q`ALe6RPb2KeqfYkx}9*^yYNn63?h22;x zHvbxF24L*sPV#p04zoX$v{DS|(O-h%U3>Nqw+;-rW@YK9L!4bjgq}5D(7T-nWl088 za<{5Jy68?_JTe@3UJ}Jj+)oPbk#!l1T$S1`1RzFG`Me6`3Oa%TT`x{m_^M46uN%R! zpUz}ubDF!rQERoN8K+>5nBL76=CMyYU7ImYTlqYsM}ql0XUOh|L0BF+ac8Gw+x4aE zAP|OKCn&cBabUg!(ejF3!%O*VCp|1+CUg~Vs513TTE}K~`#e&|=a9|A+XG%wfA^}~ zf`-lBIA9YK(7vhc-H(L*@};c~c#YmIaiK3XM-zqe+;82k)tVv6bl~%Lwlos^T>msP z@aSneR(!EZoYb9PAk@r1;sWRz$8q8y(&>`Lbq~%_ZvzSQKXw6~E|_XtD&ONl7(yXI zGERSQq{Wi!v*v!h@lTa+7^h5%7qn9CtskbCNuEb&ZX7wGi4DHP_Rp=}%)jw}?$UoV z{eM35_Fw8Gq!-$9QA|&HzB3m$)2qbQrTHDCW3cqXyU`=-zENcXdPJx7<5K4p9V`_- zH)H{zxpbXR%NHj0EaOgHmk+tCZ&|9C6go=)&j-;dmtXQTc({%J+5U&tGm0 zcv$2fv3E;ogvPOB#yD{#iBZ#3^JjpboM@P#l}L+&Q|#P__d5n=ZiPS=Ytj!Gj_hbq zF0^|rZ_JSxrRz2Bqwg_?-lNjFB zs1n5+G0l@afBxkS6Mn1N^ZO5f9c;1G@EF@t%rLT5|0F)g*l)M`#E%GRiiwYjd$Vq> addgL@FF5d}qEq3-N_q6(vH`H~BJ_h*as)q7(sXDoq864G<9{D7{3agAf6M&=Lg&=>h@*LPWZhNDVcS zu7c8AkQS6)5|nU&Bz*C`_r3SM_q*#a-&+4#{|R%>aB^lcd-k5$<=N+O;&2Yccgw)Y z0K~)u0^MYMK!@|7czuM2D+pv_0y+Z%fsTUMnD{{~j2aW;17Z>bvHhbC0^MQ~|Bt#E zlfu70!wdpNdw^K~{TUm^_3y#x`ETogzp}hz`p+KUG5_;vCgpc5|51niZFcwxbo?k2 zj7gb=NgBj_oQdT)(_tqF%;<}a=^w}6hyET+%q*;IN7#>Y9OGm>P{#*iW@2GsW@TYx zV`U75DV*^=i1j!d|EY6Vj|iALvP=64o`05{cU0zDRhy96AW2sJpgZ>hJoR#g=xg-2n zP1zlN1*FeEJ1TfBIj^dXLq^?)1WM}L`jgpG~;2rKIm4hA3p9&ATAj&U44_V=GdMkjxJ z_}hx{ca+iUACLUMIvq|kgiGL%0ODd{Vh9trWK{1s-cpUbSG;DZcqh=d9FCf_++;K@U5h7K{(1k+e4~N!J&GdMcE9 za>YoW0q?wJ%Aor&0%?ECDC78{XosH*25Qd|q2r{h8z+@X3?< zhlV)>&1D~gj-wu}sl{2M`ShfzN&`Ey!eIhr!}beuQYRYU0{#aUf9&)_MGqY0QpPkA zr5j;>$AH$)E3h25aN$2-FlVKpKzTSDjTRj8IlMK6k0`Ifc0c~XmmwN}6A zs$BGH4C;E^)^VfISzl>eI4E3~@xzCpSJ`gEI0+y#-%EJ>{Mx0!syWV)rmPWb;Mv34 ztcBhf7mrhWlP)i1qGsZ+bhxqI6xl?|Q7_gFcVqk)X4z~#*uQp-WYsmbhjprfzr*0) zpH~0=tsv`sj5;`gn#-muR{=2vz#+&17zM}HE}tgr*5gjJR=0+0cNn8|)hD|Ou!NS+ z3-t)+X^9zUKhEf5$^32pCram$p8s%^h$Xo00_j{XOI< zvLVhK=R|J#JUIv--w>*O{#m*q@%ULQ>}6|f{8~jA==f&z&qGd;_1|L`oQ0Q0Wlt2;24hrNlL8f@wO+yEr zGM-M$#jYi)fPu>0uq!5(#Ajl;g=FTAo)CjpI6OcKlXtmd5+60~qW-4fxV@3Cd%MQ8 zhho%~Ip@9TNXT63e(`hN08(VPFq)srtQ;mM^+E`j%^NM3sF*)o*Xm_<<=Ya!k;&us zW-RMD-KPSl1wA~W>g&j6k6C`Y#F|Lpm>4ukSLg%GWV?&4z5=eCl2iEcL#46g2iwF? zxoMumJ-8zyYJa||-61EvfbZx``38BwkK}WuOn>q}`20$13HqA)_2}HbC2{sCWbRe2 z45=rYsxr?x8}Gm}T$`YC}h&KIscAN|ksPYF&xwaDuZ z;)kFJKcJ=^!iN(IyE~*OjX2$7OX|)j5{c@$*5tlbr{fV|RFvk_(Cqu`hu()P2|s9P zo`){yUCgd~|1n(N>grDbbK}?$woo#rOHIHUxYuE6vzats7Ml4?+QiTdAJzW-c;dF5 zU58W%_oOVy#BWyk5af3B5 zdGtUtr#IS9DAt?Z{|q{Z(GWiwM8t0d9B851vw_kD8t?pKO&K7(1|3(WYI{r9p<`UJ z2~N1`H!o>aG(pyAWKK@rf))0_*_8a0phlK5s;$)8&Pa=&JteM5$XU$9(11kIxe{vAR4 z#nE)WRe}|ONbNY;n%<05>$(b&5$zu$Oz{@HI3q8t)ETZe5!y|g5+jP1{q z&T~#B@me8jpfG;x`gBcu{!N3#nf)K%o__wGefyDxd#~Z5L9V9{2{yS)IXQ}xpm#Pw z*Okb&JyL??+4eVjqK2fIV2#Vd;i|+3WV@>Yeid?kQ^$!}$0c;%WNG!Ku!|$jX=R5X zN8T9ZDIjzXDymtRvB5-!bZDV@bvg1q2!RQ#e7)}{fo7wTI(vg{rBW)^|L~w3f(U2#u;jCH4uaE}f=?e?o}3$b zOub5y8=XmV@mhdz*v+gS>v!Y|dCM(FVl6#e^5`>@1-tu&Glrhjl4gdiId~C@qj8+T zt=$EY7xRO<;L5deiilROC<0!)0Wlk_Thpo?UOc!e{0$C?sg&Yi-Z1t;R8X8VOUGfP z+i0P0Wchh)XEQX8E;hKs&3iZcUZ^pYx7k)-IixZtM7jA)`m%3sY# z&!kw6q$%1^AW*t;m^F#L4GdaC2_R1c5wq=dj$wys)vZF-g3}%g5ofO~Wu8tziH(z! z;v7kV&s6cJlPZ81VfT}^-dY+d9%9xOngq$To4u7rGAvnpI>dg4Ads~w?`dyq`yMv?JKhsMC(k%QT}f(_*jn7}V=h51Kem-vClwT1>*{79HG z3Ep`KN`P?dhPu69&hk#L^Yxfl$`(&R-K~vM`_ram-}_TJ^^>f71a36>wK|_zjje{q z#18y9T8b)8#?0Z;$m5^(QL#lNds^Y>Mto5EX~H&9!QsZED;uw@IuEWN&*eRVIS%k1 zAj8;b?^Rp)a#{4WJ`T0$lNN`G-KnK(mtYf*ib-9axppE+>NsiDN!m!Z^IZ-X(?|=v z%hu!?5yK#oB;SE%+3A1jz(P(>E&v{yoEfq;VP5=JIO5#clww?ZqS8h0s?q#akofEF zjYTa|8A(2Zp@UK!^hnq?_zTog)ciXtL>w@jOM0%yZ?hVR12?=}6%pg9IZ`b2tMl~F zL(uyl*(hl*^9OXhr1}Ss4HCS5p8oS2;^E!mJJ(z`MbjGZ!kuUu@pklz%SP-RwXsFK zRuV~O;s3y*C_Cr)tY0HNSBGRzDdb6ZB5rZccn;ZePHaezI0olVRL(10>56x`d5RqG z|JIUdj-?8~IwAbJP6zjZU`I41bTF?m+mMtL<6T>_*f3sE875h<^8N;TL(Nw!hpnri ztzy&4SmsmlDOR6pd;)$hH3+FqrY*(32e9wMbcVKcIlbrhGCKB623m)wpUm>vnE1N* z`ud-W$)w)imeE={WhJKh=uVf6{h%VQXRQ^}$;&)5V`Vi7^tT6DVjow0D?hh-S0m$W znk&CS-uCLXi(KcAT3nnP$?wTMDx6M;A){X4IyBUOT-T;N*R!j-POrZaKH&@{I=1h2 zA(arubdIG31&G&W?f^8;atJK)377>TZi*70T%McqBy6IIl3u3xj~@l5O@cgY!s}6l*AYaw=FeKf@2&W3oWmezjdvkx9ki)mV6Wo0_gvre3S9N5HP z{K}BM&TG6KR*hZXC3{iaQf~ucxHBYl98wTU!<2b)Q#c+rSC6=)4A}OtZmG8nrX`Yp zo-aMw#O8AUKBoCd=z`{LQ}0a`LDQS>-p49{7=`6<;J=!Xicy-~kV3s!P)vsCr+!W9 z599!z3#X0NQrYc2_cg?~_^@RU}6AA@n(X}0w5cW`6U zqb;XUC9CO#PNd+-CPqzlArm?3TVhyF@USq1LU0)yDH0bStn5gk4{p(?drJ|1!?#KB zmtnR=_*kDuvW?9oCxYgPaZuj72!lz{Sh*V9IGpXXeI>q2ZR2Lr=tL}6*plxz zW0U&M)>YyU`p(H+^d2~x}qsec)U09pg)Fa(kNfSe;ayX#VC`aUE|v*-=N znW{4=YJaA>HY6&8RwhYREFxUn<@YqpbdtS(2|WNy)Z;k>J^xKVrg)&Gnm;!y1Y~~- z0w2-!<#|_a?a5h?y^9-k=vMmjiqoo+S~sGbti+LK(v$HF4=F`j%kpm+{qjxd0e??1 zl5Iw%MNI9X`!il1g1oi>h4cgxYQFv*ov(p}>JGntXXG@D1I*4jS;sJ&+F=AUcj2jN z&K{l*=*hJZ@khz(IX|C0#*NQ`<5472c+9LIT^qqOB&pV>MbOH33(xno!A82&3~Ab{ zstJhhaC#}a7`*zSnkJD>?o~RjG9+FAtuf+9k6(t*Z$*X~5n)FW9Wc^NLOG(iV;ia! zU1E1dYQZQt|J<#yZ7CvmJ}GmJUYX8lQ(J(N0H%NAX5E%zj2 zTGTrUmNDLXyw*TdpM97v-g+n8HRz45={1$u!af0giSyP*^;ZSUPA2pofi*&0)d)$p zWVff9t!fK_FBWpxiIm8q)ew3iD~`XlKFMq_)f`_}(^R7*JXMsgB!p7cw0@Z)?}Z5a zdF!C37c%?E-0IKNO^p1A3>Is|G6?dN;Jf{LjbNHbIShCI@O% z8=!P<>m|CbxE-H_0|PbX?)w=re`ZAyYWGpRv#=@ERE&*UX7S|_zBhbUGq%%ZCm*$W zYnJuael|fOQEj@#K=d3e23D2PsTM26N_+RGm8XY-B)g zcOF*{n3JJI?RF)6l$7wGu0K3)!prpYzRT=OAMedgjpjAin|hNTTqg-N3`h%=jiWFz&-a-CU^lOHChAC(&pyRcHy z)MR&g56i0i*@^aNyH&Ep>ZRz+$VTC_vKrC>!x*UpZyXeRUTP5w>cH?By{k+sy4{oo zs9I=k$@N5~uumMHo*@T7_%qEPIyyH!cC(_^ERNUFK0xN)ReKQ3JJCD={p*y00P(yq zU2%2e-~h?3m-&s;Ofh2WF2XtUW6uS_K4OtV1~bQyzkfDCCz z)fI14k3(t}Gt0v+lGF3`3KtaCbqx_X=H>&5CYc$lYrnJ8Vz|6Tac?9Crk;8i`Z*`^ zyU?oiCElh^WeK0ZIMX@&sq4zX17Bl(L9Tzs8U9`m2me)Zym8iF{dAXQxumb;Txt?D zByi`u0@H{YGjAR1v$3bbu|D(5?myTa{@(LpZ$0@qyrhBWeRiYa#X@Cvhgv(f_ofm8p~g9`;e*h4@8g41hj20IH`j9T`KlMmdP zM(zdiyj{$A{C-YFaC%i|2o4JgWcqWLC;0s$E$_kOR^4y%28CeW@g04~z$)d?VaVuHA&KWliZuY|1Ii@aF3&*Oqi_3!lubeh6^V z!nlQAj!nRn0;UTvKmpQ;C$O~RNe1W`$PuJ8B5ocUsi%w>?w}xbhAS!_XlG^5EH|a~ zE)U)MB0rg>_~gsabxRU2Jf68crv^w*?>_(>&-T@?x3jz6&q<7;6r3ws2dA}WE8df)_`Ql{F)in584 zC%wed-fC^%bR1fWgW4N-J%~N^#^JULT=&I3nz4>aMx2Kre!$Ia1U2vHagORRJ`Z3D z5gAtDLWJG}r5+JqJ6MS{A^|x8TiqY4r5yJE;D z@AjHzBRAdjPi1Ff(&xok&O|FM+Q6v%O;8T1S1J)3O;?{-p-VyIks?5iaGKWBp)V3P zkmu5*uO5pF{Y!lj(>`2nSNUB6(}*}p+AD?&k_A7oqxXu%QvJwou`TTR&^dep`skll zRWP^Ridbc~7cohw^;;M1t3&+f!LDK}%^GD7iBhG{*UMw-`Z=FI`E{XJ->0d5ws=OV zRc(Z3RmEU!X4p}5r-LX-xNsgHvoGICyWXP>;gKi(+<&4LP~bI)c!G$x{qaTO+mT6) zBHM!Wi;_HH)i<8L`%W^X3RzO_llQ4i4Fj4vNFDIY5RvE>ur?B zKN=~#4zFM2c&HyE>rAjQ6{C*MWuQs74nd-@u$u!ookLLjIw==Teja9nCVsEPlM=pZ zrTRVz6VyG$oz?>Ju+D$+=vjlS$BC@K3+-Wb{q!Cf=~n+se2qvP%1uoe)jkRM62X8z zlFuE_+G6cp0$krP>=g5P5gMeGTDEdNv%@39&#=T^nG7KaEG0P}k?cR;@#{xIsm|K$ zuYo&DV-~X{Gk9c3T4HH<9sphtuJ^%c9H_AcU%KJJ+u75cvU7^w(Hv|sW5I4TVoyxJ zSs1I3;-;U7u-@5#%)Li5S5oiI!Fjl4h*23lHO0WgMdBgIVqn%dsbjop|Lc=*HPhJT z9$m2)y|!OYV7Gg(Y#jUcE12xF$9a=6{nk`BQqTGp$$zfs*?|e-Qh4axY}XCo8R?!K zp7dz@5cF`RHy|iM$u>sq_3R<26K~csj2jfVGIi_r{m(q%v&5uzHh(h9g3xRi?{VtQ zA^bf5sOA-qwQky><)0 zAk(pT%E;T2Ki!sRNtXCYr~5$A0yI{HVA%~m23$7;{42xE3`VKC-aY|2>;|n~Dh^&s zuBqRW%NLKcv)#YcFVN|1$!%}HOBIr#_zm^PGeTk}K%VClH3@{y{NnrBiLYOzYKRw9 zT!$8{S+i|U+h6N5QqYn1INK={j!y?yGkjRDE=XO*xSi-Ce+)GjPtnFn0xdEYBLds!BH|KU5n6Pf0bl4a1T&^hn%bppP2b@ewdE9w#YShi-?jBO1OvVmHM zue-Saa+g|PwW?urId|gdsjoSsdu5fVHbJ;1JbG4GR|4X}3o#$pJvF}}Z!n^!dsZIC z>q*d#Q>8+SE`9QWgcjINJH%Q28R~E;P!!FUdqbN%xJmEE$P9BFKw{M|6Gu$MR zE`>TtkuDp{mtmLZYmP7?9dX8^Dm%uC8OG{9DFb$s9gEjod1YOC-jrYGJ>4q7AAetV zstI4%Y~{JTeF4tL*yrxk*?@~aN%3@XQ4zk(Jn36I7yyom5MTtvGf7ZGr~nYzML$tLB6ZzOvN6e`r3zcu z*jiO%k?HJ_7j0VYw^_=0;#NUC`DWQ(&A~NfA6gjV37-;RtfTea1&n}uRzP$-#7%{> zoqmz5;lMd!kc{%AOE+fi_ZX)YZaXy}oPBl1NVi|CU!qz@!5sDB$?SyN0((2=&EUo0anE##nI>PZ0> zYT}3zT%<^b{C5hH!NazK_j{ZakQQ%-s z2LcA%dKg$tKyfaLvsW|vrS8QA@n&yhvyWc-jfVBjb+~Hmfo4y(w1#Q2tj`cX8cMxN zi>yP5hdI!&bfxQLi++=g1(9}p@VqBME8Y$We`HW3{HNg!$K>~#i|013=$3qvSGl|L zKt@}5v!V&N>tK(84|w0&f8^zkCYeDZ^v;m(R1s0!2pDmun8;}#($R4a2(;(3nSptV zWL+5ZPEq{z$|e~8upO>hd^F*pSV?Vjt!f+sPkMSuZ9S8eO&N*W>LP4?!iyyx!$tbL z6zbT2*IX;}GP%%NlZM#s4}X+^Zp6!j`1KS?vsC_!9y%Mzos{tu;*LIsfas637|%0; z!h(QbN1HS+4))7&lg*B)$N+KAO&vUG6zcx(%M3 zrE^S{hdB*@n~hMW^1ApEo4t8%*jrp?F|BE_Pml5&&{%-p?By^vTvRXpAtDl!m!YUX zoc(KYyeT20H}nwnNietxZA(?6)#x1yvj(imm<5ZB51zRafH#S;Jxj@wmSXBmTz}Yh zc?7&SQ5MV2vw)vJ>Wt0NZR^-`uDr?$Fk*>qCP;4UFLP@!!7vTlJ6+z=wWgx7B98%2 zy4W_qqg!4=K&5_vCMB>w73z4VuUe2l8ta#ue?vo01o_E9iiBD3JuqjOp}J)Aj94lM z*%(GHDh{S)7jkPKsP;OfSf+p1;Ax{K>zw)e*iJ!}Q&_%D6AGLj@1Bi;@!}ssd8k3O zf|lb8c;PU~Xp7pcT`1`hMGJX8cHwHn;JkKv(GDfe_C<5@b&6B$h7G0+oD+$rD|ityo*~Zx!7WO}18B5m z;C@lImpfe7+F#}EWA}mEyLv{M*=Dt;T?6XPJx&xcD{Ae@uSYT##u&MO9Zr^++l{5N zkeBqwTU4A##%=f`ExwK=2+!+~mjWHv=AV2shNk%hPTnHV+82;N*F^nz!R2xXpevx- z&bR0Gs7{4oCN&*d*1$_qs8B*jk1}RnvvT9DwY~u#+TQ=7KR? z!h;U_D^uBVp=+`)?j)DRp+7!{pWVz!ctNOj+7wMBGMUY4Q~ek}`M8U`krb<|zW`@P z*b=?5k#%^El}ouX^EGfUC*jgUTH>l!{qNs$@4wdX8o^swe zl-&YZV`B}IPC!|RFG8SrH+YgB53NX#7tmfnb*w>-PXKBTvBn9PHAA7*(;7xfU5)d- zg1rV4>~Ec(4Yju8JZQ;!%(KWoe9clv|Ffp(SdBx_3FCMAzRasygy8DLdD+Hvm(C+b zTHe0{X+)kM4ox=A|1z1E2CU`tX zOdp*17#g{8>$st3fFP(n_KrITeNbaxfvM2&-8ZKDptFi2cUb}>cM24W322iaOiQuK zOdow`5+bRw*Vd9+WAAoMUF-sh8|b)3JRL6i{4VIk#|J!D-o3kwY*qa8{MiWc8TUlP zig8^y8&lf_R&aOD6>h>sVnRfYK1kbr>zla9(BB$d(w)HgArbM9W*dUFrVj*IVqqZ) z@0er%t?(X>{3ikb>gfNoenv4^bZw@vC{6O|JKioeX5{1{NHPFLP@`Z0?EsADrOlc- zh}0SuCpaKO>UwXqfaYGw5>5!xig5}V>fsyV{@&2@{dL}bTi=hRDfiYXVA6ePG$EnC z&HnmzzH_Ld&8B=>wjVbE~}gT{uSR>-6(RYPv#NBT9s?rc>COP z->VZ})zhZbLspBSa?ioo!Y%==L6~V^7)pLopTIpzNhN01Qii|RMm#FdK)Jwc&iTkb zKboz;oOq8enmSL#pP=(l&2ZVbMp__qvur;Q7QG$*?&R=v+l-O&I?ef}$Ff-9$M z*5h`Cp;aii=gpMnGfHoSKA(3)5~^vLNYKiRP$TJbQd@ttFr7Q2lZ+UwEgZ@}I63Zw zv%jjz7AhT@IpS>j9Sy zjmDIBBy|a^d{(pW6cH0q_23VK_G|B_Q*IbqRyT6x@47$>MnNTf%1q0HP45)51= zzc>jUZXz1{x{O!4#Bwz33VwYZlq>y#PtJi0*r3l9=6r5$CDu0oc|6W)QNB^(a z*FV--!RH;pT9Bzx+738J1@w1g`M)cu!?FL~Z-WVxi0Y^iv1=iHuUWveuQ*6!YrU_9 zawgnT*gREdnx2{O$|VDeu!`r31#K>4WJhp1RG}d=4^3Dco~yQD;fzE-#-<1R#OTbD z+RR0#R9cV4^3^gd{)C>uO8vNYNJbZLbXGutilASwZ-woRWQ~^&XZyZ`lw+-U=J+p( zf&Q#2MKl0t(qb=^tXdYdFneUmBTJ)w*0M46-gEuJufES4{3c==CA7F*@!d(!-IZ;i zu@GJv?U3s34}-@IXz$5|L~X;v|RdCQ?A9p1Iw?!;tL*h zX+n}wEebZV%R5aZI3cKuk?*3mzCrHqp5YlqUn9FF%$wY^7={ZUT|BtlC)|JLT;dh; zaX+q7i3meUuj&z;3RPuL&1EbY1RD<$ zVzRugxsAZ*Y>|U>1;CVuiMs@e*M#zi-H(KZhE9o|wl%i)ezN=|PHAc>;eE`F-x zQzwNvv3?8k_-0zU=Cn=+Sh#Kq^Sn10HIETmMhex{){Z+(eb6h^EV%naqq;Nq)~S(G zsttkdxPcybxWU*H-buL8_>PK_Z9Sl#4^&f(Z4X{(TdO^e1AB zp!NB;jr^6Pdq*V+f%H1{2rq*^C=YTv19^co8{eWow-tG*wcTAf^>k5FdL7*Dr{^n; zhl`(2iv^h^4(UJn_{R0dj}zt#DhXw%wrhW;#qSQUt0E+ssVw$Tr*@ z^RN&;UK^yf##KPAl6`Mz)=A#)4ON%Re!Pan9 zIj+0(RpMM!XA)Y!ktDM2jm+59b`YZFoEDp|B~1VL=3TsG6`^z0QSL{@Sb(jItwmbg z!;>hd>PD9fTeiEaZZ4O9t!Pk`k!9&dHk>HC^59`hH!Kd!p<%DN)BvQC zwv2{UDpV?Mj5MN1R0Ha;4fYFi9=*;u!j&mV%g zXI2^Mh&!95B^|7RO-C9lZEb&egqW|DSJbaM<3HM+d}5?Jm+)5onZ4%LMk5JtOv@wE zvg=UXRHI?4ty+wCiyXX^`_jcfD|L#0V(Q%QwwUQwb&u6&fN?3B3C{WnZ#0sl0_Agj z!n5_mRu)hMXdA<7Ob8++-5H`qU=U$H~=%i1x;{`px^K$&HI7KYCH7aMT= zzv`0f1LGZF0lX_dh7tP4DcK_SQ4)aCm7zx%-Rbi)l4pW_9$GnnY^?K`F620SVDPrx zDxzq)@~EXnyn6Vc0sF$OcF)zG#Y?C*y#N(eX#BT#Vb=BakzMevdd;?Hcn664)CqewRKaA53p=$Xdc>_)V-YV zdE67LZF0V)#K+nv;5e7ukzWS(ZKO?<*<)0zi2_G|2;AJ2L#~t*6p44@+&YGUQJ#`U z@ItcWljPzT4@rfuceBnYE!UF_LxpOSs_pKOd%|J^Uq))^03H(KDiz3foAjzsvXl{0 z260PCjjyBV$6o{>x{Fqrw;DJ`V$rP6TiT17+PH+wd6Hhx7>sHyj>46We%xtmlb$ zO^Ud@?6J=ykhz>U1GN-p=E;~a&i4|42Xgsjz9wVESYe1QLa=75)TJj;Z3qA6e6{f!X8!53w=m`ML2hxZP zBD`A%7Hm=!bU$0WY6amccA$Zv+ZiCX&3-yHms@egjd1Nc4b#M<4%pO z?1A~Obi&ofW6yu9f7}33BT2;+F0w^VsVBujdMveL8@TQ;xJ3n5TrKPp1EPrI#zRD} zxRU$^H?Py-m-D=gj#W7>9wDBFjcv5v2^p+?uuoI0*zA5^;k2!FK<6MM7|W%ih0_g# zNbH^KoRPreGOV#tr~ExCj5L^3lKZAwl9CIpsQIEXdf)GiF}F&?CoGAg1I0DNI6VZk zoa61Y*RFQx2-Mfpr zi_gxuQ=5Rs12M!We8di(%To(-TyqU2xneWo5l~>;Bb*^I-V~PgcDvifJY`hG#7MgS znxwW+-y1Uq{K1Co7>|+8@d&ZBBdgfdYULX zZtqpHR&!-Zzgpu1gZLh02#*c*=dWbkv!(vZJt3&~5 z40#oD997l?>cX|#%22=*)cps-oQ?T<8Jay}IrT z-1FI7w~HOqyMv~9(EDfw0boLO8}dx_H6XeYp>~@jA1Ay7)jsPvCM*)9ZE^2Pu4i26 z%Yu;rCUmBx59q<|n}$nUT`)jaDvx~q9$BhNdqe$$dloLAxYjRJv4MTQkz%j;YgjY< zk}MXg{rQM!-N&^ib%`WT-HD&pi-rulVzu*k7Bklpb||qgaH!`OCJ{B4DaA`x>og$4 zqg!CbfKxl35Tb>Vk9Hj_XsX5MD(<+Abd9MxsY$M`jX#n}>a{w}d_~p*krYcnwMp?$ z!N{A9>>Hew?in_8jN^wdLHR3YHn5d`k8&W@JaT;;;_Ex%iN9IJA#!Oqv zu-k3)5Sm)@TW%O?5N1LWpjBe}5p6%hw@}qK z8Txv!%ZVtpy$6Xa8FNW>;}@1HP7nE}_s`#9IguxrV#}#7c=w;)&Ht7+_RlE6|LOI= zrW5@0m4+7i1$+-D{24(zQVliFOvZP%26gJ4!ji^P^8wU)#OCIvmD?N1asR8wqmCzW=#WUsdR+!o$sMiw)c&f#N|2WRp8Pbmc zutQM#=p-%MviA_goV53h5+FoQqH2nC^X{MBBIF-}SpKaQWWEG<7Bv`+90dd7^C-Hl z>HojlFi88C!QG?xmHlFvvxd}92bW@j9=cfE0y|AKXoC^*e1;P_1pTT5lSW{okmU}% zXA+g0#K729N>Q$(47~4CKK(&>j((UE!#L3=N7CbUC?$-$vkd%un~?OsP2R}=XRY!x zCH1XLFNF=^v&@`rRM$}A@d)K6n8vG#_kQIA0u*$MY8v#FhgXYe%J~g~I)+*iLB8*m=2AS#$KK)mT{nt#9 zf8JMGzz{m<23r#isL_WExO(_>73|@753DW<8pps!sm#9QsGeflGtJmpUNRGf%ai%! z!n+M<`#$g2Hwn*gZ+>TYy7L1< z=E|p~C~C=cKiO&Jij+Dzl^B&r!u7P>Tgeql>I!OFict>J=29t9$(s7&XD3^^p7fgQ zDPMjd&y@>Z!O$0Yui0p<@Nq-3)H#NKR#b`0a>?B}N?92Gar%;e z(z%rLflDVti#ye#kYM07jvvYg#e8Zy-RefvQTsS^x!z}EqSnE6b|?Ag+is-ACO6_W zstVtJwC=st(Tz6o8-lHQYR>CeH+zyi< ztG)b#=2#(Y*6}okmpd$LRXHpNjd`i&d5IhO`p9OjccCD==MsH<5_n)!=2ZcW1QoV%cr+)^sw>T{0q_O1m zLU&zb6tTvK(Aj$e*R0?=_n_Rl``Cx%8h>vY-}mbo$mo$(CKgetG*yuSq`BY&kP-Vu z`MiIyO^sfsl;-;P{(Z-A_FqN~sJcDVzb7#1-L-kf9fQAL`A9`?7yKLJN7(+s_nLsG zkm@>_XNMrEZARk%gR#VU`uH#FeVpyBku>v|1Kqbyv{Xy(0q}Wbdp`Ya2jyPBDQWl0 zA!tanY?ZKQOc7q7oTH^NeMJM71YUM}%R@%eFB#IAQN{>1De)asc>naD1@f=q=>L%9 z&$#np+yI=s)zM`6f_~cLQc-c?gy$E?S@&N?iw zOhPt9x6F^F#J_&OJ^((R1jzTV&s<<%cn79f=rT5w-eZvYSy)XprUy#Zky2o6EHA>b z&ls|7^9NGzi~79{Lx7Jn&~qH#O=_0Z3Z;!E38J>!@fwi1d{_-O4tEqiX#<&r%#HV; zVk0d8bUp!?EC3h*sB#-7zZ#pXlmrvq-o{?;SiQT_-kX{BvjYxFzhH#d$b~r(dHOAM z6#kF@c%W@A(b4*rnV-$_)H=00tv^{$1=&5z_5>UQsqRk5cc6w1|H1Gfswo}jPpS9G z7ql(b@;pU2#gnk)m+Mh3q-nLwovo;}1bq4wq2v0i~!_ z?GNK)in|7$N{ay6jq`q}9`2E0Cz`Z{p2XA3&~(Yaq=jEZ&7n~>5q}se_a|zz3}C2` z)U}PKv%EGx>62ZDp#Cr6^G-x9MyNHM{_W>aM)JuYFf{JprVdQn!c2b3h0KRAT+azI z19??e+UVnLk3~&g!0Z8Zim+j4G@ax3!G&C3@<6zr(m#4%!T+}*gg5J}ZM=n1sVIaM z5QqZg88G$&tC`6!YVhNCRri4kV#K$^$Ly47`GJt}MLbmi!$`Y?bkhteRne3WfDCzS zUcyGci~CORC&n8Y!2xRp4J2UVqwRO^9mB~0(Yu=o-ST$oeDkPxfp`7UxAxp9PK!&F zgbU#9`*nC4^H?jFUU*ERRDoT#4`F%*12xsG=ugMHZcSv*p%Uo42(QjuIUMl2bnV`c zRU_psMyA9GU#UEQF*h2dTAKHr(5B&E8nu5e(M57m>;FE5gAix%-bpDQc@~;FBXB%i zI30e@%1Ql^S%vHeV}t#@cp#qPXrkP>$cG@#;X@G5UmofuGm@5a4?#7NWio0#S{9-w zsg`6z8HQG0+aM@qAGk48Ap|_bF3E`fd~y&1U~@@F!!D4t(xhI@ePcJl4r!Fh1_spD zMx2u{jqxn$=3`|<=M#qj1oL6pDO{}D)0g4`W_^6fNBzz5i* zM}1qwUIu@;N9usj!y{hTaKbb!BhvyR<$(N*-!pC9$u(#qJtzHXQ_1 zY(#I;f6<-vo-@)b*4kiyv=Iz5;K*5q7?^MGZa@~m9ClYpf^9@J_h%7eQq*J>isRF7 zG`iIuLOrLp?&`<8UU6_Cm7y428E=<$f8fAB#82P^)zsFj4z#aDo^U1kynW|9=l5{) zvC=KGj&7qF7y~^#*EIn|QYf@{dg=&q3WHq{aCa~pm4$>QMn4a`N!P{X|VoGnUsoRQ!3C z!sxi4PF~wD>t}OW-xH1rq(r2e?5X```SXX*!0;BwvGXBjCzw70SNhJwi*+McS?%Doh75! zmq_5wTNG|K*XW{hzfpx5?>&myOT$``dLtgCB?)mxtXOqUs-T()*FF zIYb!G1mLO!Ww)C8R_@-^NuIP`72upWWzM{#CWBJ=9H9}DmihMG#%l%n-advfJ?I~! zkjEpQLdcf?DNN+uHn@0)<2&SNDsbJNq`4I@LKJ!FI^>Pnxv+q3YT~nflVV} z2{=1=M+F=D1h#0L)tdB(A%(Y*11NqyRb)4kn>@b3LE3GD)!<_{>vFtRbd_P&9U1!oAnifjD!yZ%G`(NP|whwLlSRC$MEhoHRX4lr;o`VbV0`LfhNi}tC797iM> z{N4J)G{Ws_^X}}_+ho6fiHidv>X93}iAa!KU|iTAGvD&_ff06M-jHew95I{UGT(`f;@EYr(zP zRG%;Im|;s)r`;Ey{&75Tr$y)xbUi2vLUQ6|IM;?$dE|c`W1or49md!Y(`(#?Yp|-~ zwrR16>j|nqmG2488Gr`alFV`R|szts`A+?W4>( zv#&&Ow=e81@*FLfk&^Ayi53pKM~Z9T_eVTHMD=#rXh)Ae+Q39r`L(MA9)gUT1Kr=H z5Wi)A6;OVpO4x{do?{O&_^#Wq>;DP!9WR6&#Md5)JW}jRKS63u#}on83@wixMzvoo znYR5t=&?(9t!+WKd)p@05^`Jr&77T`iRi-BiH)f# zZ}MczrZ=e;SQads(~mCK<&$O18_M2$A{O z4by^UERq+mr$-ul;r)IMJmKd~z@;%?zu1W1_V#g{=o2mT@U!g|mn^AFseZNSgStgZ z+W1|#HV7^9LMq}0v)e_6?H2zM%AOHIJk?>y>g@n?T*?QE5 zc!@i~q8!hmA@1f*JzX=^o4o&j-t*sZLnj2zdM*1+Cx!JEmwzT7-1pg@2*U((*l zJGf!*ffU8H0ABNLq2Z1~os^Ato@BYYku2_C%QbGMGDmEiy+U2X-_TY|#DAKahsx;7 z^{yhHhY5ZJ;)($Nufq(dO*N0Gj~}r)l9?a+rgpJ8t^9pc3M6^cY~oW&(@*s>KHJj0 z4$5W&IiNoI;C%m_idsk0Y+S|yd$eAcSJ`Z@i<^>ABbQvRg6k6|&X1km>BlaxCT~D$ zz?~SeFgrkd9xWAijr22@j~qVwJr5pKWaK3GHXW(IJ|Nw4UibOMo5?YjPvoi-Kbt4T zB4>~y(cM|>>kIQ2>-q%|t}2Ga?~$H_sF*N{CFN;X(C?`q^4|o=yU`cq$66&SbsI|j zgLYI^m)GBu;Tv^ICR{KMyo-_C%NJ?vo!oGm51D)yZ{7HPLPRpn!mpfOJ$)stCxZh=7!UN)r(Q=_R5l9j+8RXB*}hoLsL~T%Vj;mBD~6wnrXB(+cnl;h<%o1rziPH^Hv! zH6~irBwq^jZu<6({mp@(OG@@iuU-h&Y+DEDZ4o>$`&mPWTuIIXWan%`G?IDApl-~s zj>&%YPVAUPL)xranuY(Vp1V_re2V3!OF}rpTzk-MXOubOHpJ-a(+9I=yP^y-C3Tmr zWJWhzvO|nluz^po-%QlLt9MDp;JJYpy4k+uExvV{x3^Brk`1=GwBh1Mgu&lp(#7tC zxyGs=h_k3I;6p-f>fIJU`D~wLNwaz^*U!gxm{TN*su8AV+m(>YvYk^rST1oVBfrje zAL4OPyi}w?_SGKO*oMdAwU|+;V@S18q=GA1d4E@q$lJF~Ey-IJln=u)ujdSe^Vd;+LywE+b;_`OCneL>Phr{I8 z@n$E{s;4H$<%~LnHRWm|(gnXcp~YEU%IeAIrd(NR9uAxJ4e^580}b@SI#ro_ z!dOw=!SmeEl%KG)9D6WO)Q<4R@RiV1yu|E6;fUS;F$P z$+@J5N0b6IAqCjz!QMHH;DV+Mj)GspSoX)mhK+9&CR9}ZV6_aNHfLRZN3+_4)I{X%LB2w*cY~1y zbnZP!Uk0ilO*0RIF;67maTQ%?VV6qqTvGX0mY6@`fd3?oBtR5Nh}mJX`UBKq1G+rY z2q;>FLmAo>&SzBg)pX0r;H>FQrAiw!MeA5qrBS{zynX%?x9y>V*2vu({&lm5uAJa} zj?8T;f{`k?`_L; zyKy2+Y$hrqeD(1TVM{aMO?Tn1Q^^x!=#F9VkeIEvgqoeNg|URO2u1*Y1hk(owG+N8 z1L!>nB!3S=_V{~E?sw(TziL`}hqn+*TtEj)A`;|+t}e1vLuj|;Sv{sIEKOrLsf0ke zRy#)f%zTbv4*k`vDcJ034@rOnJf;ZzX{THL`JPocSOHl6?!^a|1jzMeUj+|#Pry+N z3C|UqK~wvG(iah%ZFC7_Aa!daj`D-FvZ+Z?Cx;~CP%0kjj-KL@@yh-x^2VFhZmDUa zpK3WShsf~mOD7VnFwu-Z$7}fke6t@Mu3T7bi>B{6Tj6GjB=5h)1@s*&^y-h)hwwXC zR9`u~k`Us8ra(SpI7Cvsw9+5T!Z&iiSSp@`xPLDUz`YA}x;OF=D5G}a&|dt5AwhQO;wvvuzcFogqQ~>4%RkCO$WgQ z&jm?NgEG`7!s~eq(rjTpGFTov^L@Q+?F%t=%xiOh{n{`EdszOz*>+qB3TF-vodR0R|7aO|y0XMyrrWP6< zeeB@}wv;oos9plcCQFnxKwx7@gl0Ko$hfkCP{(Vp!6g!PW3=j3>-d6c5Wh}u(tWW< zJ~qJ+P3LJRaX6!%9Q zG8{coYIN@KY-GLRh;R`L6Dx5!CC>FU%>kW&2ZN2^(Y5yvjyz4I% zAGCR~TQSkCnNM^xQMMO4BAR&TX~8$A?tMG-H5MNQKLws|0`*qWU%FMyi;&OgilOcu zQ{p9?Rx2JCWL}|^*H68xBx~7jG-&glQn;9yct1Q0x8Cce;DIaxT~m#qmcEY^7-v|O zgWHgUhna~q3oCW7|N4%wpzx+)huo04X8aBJ{zdfEHx(Y!!0n%pMDZ30zZ5ON2XoME zS|wv!uP`(s^SQWT%sAVkmQvacP(~npVO*hh=z*p@Gzp4em}#;2B@d!Mz6Eau!P{FU z29X&*s<+vz-t72qh~4uIp$nq=GHe2k?s=+oRKq?9J`Sjnw!3Wo@cZ#7g#AQBgop2~ zgoB~vSavoG{)^?MtB|8?n>LE#6Pm?eK0g6v>cGE(K>x<1_>IB-y{zVC7U9)B=W9dW z!xPaGYW~o5yy{qs{3~bgbk7TJDLo;jm5T5BVpf9U-TWTBJGD~5Hi)bh*^HpueGm)) z7d?9yv%p3E`kGM^^28&qUih{^)z^g*jUDAyp98CJG=wOKL^X8sJU`kS5syB=fKj^_ z7eu18wMZ&Z;L*tqhPrF1Sy%`imheGItzen*Y+ufxI(K3A;=_G)JPO-1#tlMKn<0{X1y1&iDYyv(Y6aV z4O^UVALa()y2Slsay!-W3Y4yihIE|`{MECAhpHIqEqASsx_>ab>1L_tJn;HiNWKL< z-&WNK6eKCk;s_KGbxQJw)M_rn3j)l^{rllvUmiJ`2&bRG40+q%K3(qg+DJCU*T>IV+DjvT$maeU zOK3!W8ad#eh`u{dlLB?Za+$*io zs{@%`z?8 zgT#t6Uw~+HJOA&x%C*TS&$7lC3aE=syXkMH_aKTAI*Ln}8EyV@)I`=p(B?Q8=pZe9 zG!oli2!B1bF`TRoBde~1kOHb6v_FEuFa#bHFH#GTUs#{#VVt3iyNG=J7R+7m_`GXi z3Kkf4TDz|h>mGJ&)@uo?!*S)MG`Gwg9znSro+N1Dl=t5lujqfzQ}WOI3D9EkMD{QQ zc4#fy{Cg0t(_pXic<6zK*PC^-##lP}o2>WMsc2HZivZO&nczB4OmAZypbRxF!bR3} zq2tOyoAOtqhTe_KD{OZ`!;WM(v!$kgvQmQkRC9wv=+H;8qBWjQmGQ;IIP{6}fEEZ= zcVgA5NMWQ-XqU+uY73?#@j}F-xR}M-rlekN{Q_^7{WVnlvpjS6v-WJB-oXnqDp&2| zlfJ%mmXROYCY~gv8K(F?>21z|fHLf{J6_y~aV!5~SkG9<2K6VI~ ze9o=_Y8=j;dktgkKhr_Wyl{nk9}%47>vm{9PxnT`6k;JMxkjcmQut86? z?#zB2RTpn+#iBby#Sl;+RdXQ4nMKNK8X#5E$hNU@QdiO|JUF{3ySVI7=Z;yRwzv4H z9svn+ZMHP;>O(PQ;t6K<$4zpJD(y}x4rxl^1wnM+Z3RP*GKX12>__#0IT!pBkdmdH ze&;@4nVY&~T%GsnVt?Z4!epUG-`FM`+1+@b{je8Jir1-F1pQeQE4nah@aKM(K_Y_5 zU4eaiv#dGIw$^lu@ROIwh4vbL915pKOV&>@0T5CI-Qo7Bjj3Yw2I&St%Pra6YVCni2T}pB*v?Z0)B+vD&Z{}qpcWL zHP0}n;4x%>-b?O_Ss#K%D`R2?S7;Ih-j|1aB0JkRGmk{4Ikz86g9wDBNc|cDmo*0$ z;CYAa=&Wu|!4k+SJcHyj3*%Ek^mm@VJ4;`;bvg{~OpaP)+sL5*kXGzEwWw}(A|8fDf=xt2AsF;(V7;0x?5;Zhd6H&*~}fp^Kez? zA}G7>ar!T~WG*S-_#hvxq(2}7EcIVg!=D?6bO2#xnHZ{^HPNpb}9ar#-~5l%tzpLykivDBI01`!ZCNy&Q-g^lkzm}9!T*Xs2kR& z#KnU_H=+Qd1Ft-aq!z7Vg?}Zz&3uRG&y-CK;qBp7-Euw_1_Q;)9z;f()PP>K1g-P$ z3F5nJ%VkGQ@<6BOUlhiFRl@(0qvAKIx;njpR8AN^mzYC*Av8Zus5ms9b1E7%7*iYDCS6%kL>(yI)2r>C8CjfA2_hP5iAI zk56uH7gQCYe8y_OiuL@sdJB0#kN-L@R_aV*^kUHN+$@686S$M3k}Ub=Dc>56g5&_6 zLB$_whx8KI7<$4~2ZSaT_f>pdI|4TjSG~# zNAoGA47`G*+IhBU@LyKvMH#SGt4FTFtbUF>TtimZ55SHF?cLWG@!O&E9 z=Li}acu^@+7J#co@=yg7!?u;ukD}dg2R2KqMv|?sg^G>?ZFETn3`G;qQ- zvXCJLm=&&JGsICP-V52M=E&R`-fgFdmn)xW@#(XNC+wc`fZYF4VhjB;Fbn#vPwD-$ zDF1!^--sB$|NH-x|IHo#AMF(O4yg`;Q5TkO;R$DT3?RyaZsL0SU-kYZKC%5TzXShQ z=#&C()>dQiLgt6Y+i!RBU>I$G`t4Bo!k76?t&-5JHvIWSTfnzKpA4&YEVO)^=u`Zl z#r^7uM|C+~YPAL@43lW8t};gchHejqPoyhAoPOG`G~9s$c_KK0~x! zW~aJ{MAF%i2giAf<(h$5toq!EX6m(9UYUJ%{`Dr4WX;pg_m@nUKKF4w<7qUAjy(kR z!$;+_`U$)Y)dlm%E&`P9chn;t6yPPO`@9hPIOC~}6GudR2Oeh0X&Y|LW*X23I@1uP z8EU)QW7nAPbR|H&GgRP7+JhW@Z)MonDm-P%u9|)An6S=WGli#pF^$4jM#ocfWnMmD zxY6%MQAax*fP#hLSnq{a!+u}K_S;=p+Zx173WYPqS$zD8pWyy`qKAK?>~F3&@~IA) z(`Atg&FP5NR(~Ovb#d&AdnI#ghrQT8nQKpSmkgE)^BkDX85Q*%m?mqCpL7XaZ5C-8 zhOTD*h-DZkFE>@MU69K>*D6*omgZX2c{5qe;iy!~b|rsUbQ-tQgC)-->. + +- [Список дополнительной литературы](#список-дополнительной-литературы) + - [Митио Сибуя и Такаси Тонаги: Центральный процессор. Образовательная манга](#митио-сибуя-и-такаси-тонаги-центральный-процессор-образовательная-манга) + - [Чарльз Петцольд: Код. Тайный язык информатики](#чарльз-петцольд-код-тайный-язык-информатики) + - [Дэвид М. Харрис и Сара Л. Харрис: Цифровая схемотехника и архитектура компьютера: RISC-V](#дэвид-м-харрис-и-сара-л-харрис-цифровая-схемотехника-и-архитектура-компьютера-risc-v) + - [Дэвид М. Харрис и Сара Л. Харрис: Цифровая схемотехника и архитектура компьютера. Дополнение по архитектуре ARM\*](#дэвид-м-харрис-и-сара-л-харрис-цифровая-схемотехника-и-архитектура-компьютера-дополнение-по-архитектуре-arm) + - [под редакцией Романова А.Ю. и Панчула Ю.В.: Цифровой синтез: практический курс](#под-редакцией-романова-аю-и-панчула-юв-цифровой-синтез-практический-курс) + - [Д. Паттерсон и Дж. Хеннесси\*\*: Архитектура компьютера и проектирование компьютерных систем](#д-паттерсон-и-дж-хеннесси-архитектура-компьютера-и-проектирование-компьютерных-систем) + - [Д. Паттерсон и Дж. Хеннесси Архитектура компьютера. Количественный подход](#д-паттерсон-и-дж-хеннесси-архитектура-компьютера-количественный-подход) + - [С.А. Орлов и Б.Я. Цилькер: Организация ЭВМ и систем](#са-орлов-и-бя-цилькер-организация-эвм-и-систем) + - [Д.Н. Беклемишев, А.Н. Орлов, А.Л. Переверзев, М.Г. Попов, А.В. Горячев, А.И.Кононова: Микропроцессорные средства и системы. Курс лекций](#дн-беклемишев-ан-орлов-ал-переверзев-мг-попов-ав-горячев-аикононова-микропроцессорные-средства-и-системы-курс-лекций) + - [Э. Таненбаум и Т. Остин: Архитектура компьютера](#э-таненбаум-и-т-остин-архитектура-компьютера) + - [Дональд Томас: Логическое проектирование и верификация систем на SystemVerilog](#дональд-томас-логическое-проектирование-и-верификация-систем-на-systemverilog) + +## Митио Сибуя и Такаси Тонаги: Центральный процессор. Образовательная манга + +Самый лайтовый вариант усвоения основных концепций изучаемой дисциплины. Про архитектуру процессора в виде манги. По сюжету девушка Каиураги Дюми, чемпион по японским шахматам сёги, встречает незнакомца, который предлагает ей сыграть с компьютером. Конечно же она сливает партию. И понеслось. Слово за слово и вот он уже рассказывает ей, как работает обыгравший ее компьютер. Не понять просто невозможно. А прочитав эту мангу любая книга ниже станет понятна абсолютно любому читателю. Манга [продается](https://dmkpress.com/catalog/manga/978-5-97060-507-3/) как в электронном, так и в печатном виде. В обозримом будущем будет доступна студентам МИЭТ в [электронной библотеке](https://e.lanbook.com/book/93581). + +![../.pic/Other/Further%20readings/manga.jpg](../.pic/Other/Further%20readings/manga.jpg) + +## Чарльз Петцольд: Код. Тайный язык информатики + +Книга для тех, кому плохо пошел материал. Очень, очень классно рассказывается что такое цифровые устройства, как это работает и зачем это все нужно. На примере фонариков, азбуки Морзе, шрифта Брайля и штрих-кодов автор знакомит нас с основами кодирования информации. Из лампочек и батареек сначала собираются разные вроде бы пустяковые устройства, которые позже превращаются в полноценный компьютер. Отличная популярная литература. Если ты знаешь человека, которому с трудом дается понимание цифровой техники, то ты просто обязан порекомендовать ему эту книгу. В образовательных целях можно ознакомиться с ней в облаке. Почитать восхищенный отзыв о книге и ее содержании можно [тут](https://habr.com/ru/post/68365/). А дождаться, когда она начнет снова продаваться можно [тут](https://www.ozon.ru/context/detail/id/125884/). Либо поискать в магазинах. + +![../.pic/Other/Further%20readings/code.jpg](../.pic/Other/Further%20readings/code.jpg) + +## Дэвид М. Харрис и Сара Л. Харрис: Цифровая схемотехника и архитектура компьютера: RISC-V + +Потрясающая книга, являющаяся более доступным вариантом изложения и иллюстрации книги "Архитектура компьютера и проектирование компьютерных систем", Паттерсона и Хеннесси. На примере архитектуры **RISC-V** рассказывается как построить процессор начиная с вопросов работы транзистора. Рассматриваются базовые конструкции языков описания аппаратуры **SystemVerilog** и **VHDL**. Эту книгу на чистом энтузиазме перевели на русский язык группа ученых и инженеров из стран бывшего СССР с подачи [Юрия Панчула](http://panchul.com/about_ru/). Электронный вариант для архитектуры **MIPS** распространяется бесплатно и абсолютно легально. Обязательна к ознакомлению каждому! Гораздо удобнее использовать печатный вариант, на этот случай ее можно приобрести [тут](https://dmkpress.com/catalog/electronics/circuit_design/978-5-97060-961-3/). Электронный вариант с архитектурой **MIPS** доступен в облаке и [электронной библотеке](https://e.lanbook.com/book/241166). + +![../.pic/Other/Further%20readings/harris.png](../.pic/Other/Further%20readings/harris.png) + +## Дэвид М. Харрис и Сара Л. Харрис: Цифровая схемотехника и архитектура компьютера. Дополнение по архитектуре ARM* + +Как и следует из названия, эта книга дополняет предыдущие описанием отличий архитектуры **ARM** от **MIPS** и **RISC-V**. Книга состоит из глав, посвященных архитектуре процессоров **ARM**, их микроархитектуре, описанию подсистемы памяти и системы ввода-вывода. Также в приложении приведена система команд **ARM**. Почему такое пристальное внимание этой архитектуре? Потому что это одна из самых массово используемых архитектур в мире. Например, 98% всех мобильных телефонов работают на процессорах с архитектурой **ARM**. Книгу можно приобрести [тут](https://dmkpress.com/catalog/electronics/circuit_design/978-5-97060-650-6/), а так же прочесть в [электронной библиотеке](https://e.lanbook.com/book/111431). + +![../.pic/Other/Further%20readings/arm.jpg](../.pic/Other/Further%20readings/arm.jpg) + +## под редакцией Романова А.Ю. и Панчула Ю.В.: Цифровой синтез: практический курс + +В дополнение к Харрисам отлично идет практический курс цифрового дизайна, в том числе, как раз, от того самого Юрия Панчула. Книга ориентирована в первую очередь на практику создания цифровой аппаратуры на ПЛИС с помощью **Verilog HDL**. Затрагиваются вопросы процесса создания **ASIC**. Очень хорошо написана, грамотно структурирована и имеет много полезной информации, требующейся на практике дизайнеру цифровой аппаратуры. Купить можно [тут](https://dmkpress.com/catalog/electronics/circuit_design/978-5-97060-850-0/), так же доступна в [электронной библиотеке](https://e.lanbook.com/book/179492). + +![../.pic/Other/Further%20readings/digitaldesign.png](../.pic/Other/Further%20readings/digitaldesign.png) + +## Д. Паттерсон и Дж. Хеннесси**: Архитектура компьютера и проектирование компьютерных систем + +Отцы архитектуры **RISC** делятся накопленным опытом. Не только рассказывают, как процессоры работают, но и как их построить, прививают принципы проектирования, красиво указывают на заблуждения, дают хитрые задания, да и вообще книга богата полезной информацией. Нетленка. Не зря на лицевой стороне книги написано *классика computer science*. Заканчивается книга разбором многоядерных, многопроцессорных параллельных систем. Если решишь поставить к себе на полку, то придется подождать когда она [вновь поступит в продажу](https://www.ozon.ru/context/detail/id/7425447/) или поискать на полках магазинов. + +![../.pic/Other/Further%20readings/patterson1.jpg](../.pic/Other/Further%20readings/patterson1.jpg) + +## Д. Паттерсон и Дж. Хеннесси Архитектура компьютера. Количественный подход + +Дополнение к предыдущей книге, вся суть которой передана в названии. Рассматривается эффективность современных вычислительных машин в численном эквиваленте. Что и как влияет на производительность вычислительных систем и какие существуют зависимости. Уделяется большое внимание построению иерархии памяти и анализу результатов, исследуется параллелизм исполнения команд. В некотором смысле это библия анализа вычислительных систем. Авторы получили за нее премию Тьюринга. Рекомендуется к прочтению после прослушивания курса Архитектуры процессорных систем. В облаке лежит часть книги для ознакомления, а ее [физическая копия](https://www.ozon.ru/context/detail/id/35204637/) хорошо дополнит домашнюю библиотеку computer science. + +![../.pic/Other/Further%20readings/patterson2.jpg](../.pic/Other/Further%20readings/patterson2.jpg) + +## С.А. Орлов и Б.Я. Цилькер: Организация ЭВМ и систем + +Фундаментальный курс по архитектуре и структуре современных компьютерных систем, написанный двумя опытными профессорами из питерских вузов. Книга написана излишне сухо, в советской манере, порой с излишним формализмом даже там, где этого можно было избежать. Однако, книга изобилует большим объемом полезной информации, богатым списком источников, в основном зарубежных. Рекомендуется использовать ее как дополнительное справочное пособие. В ней можно найти много оригинальной информации, не содержащейся в другой отечественной печатной продукции. Купить книжку можно, например, [здесь](https://www.ozon.ru/context/detail/id/147603179/). + +![../.pic/Other/Further%20readings/orlov.jpg](../.pic/Other/Further%20readings/orlov.jpg) + +## Д.Н. Беклемишев, А.Н. Орлов, А.Л. Переверзев, М.Г. Попов, А.В. Горячев, А.И.Кононова: Микропроцессорные средства и системы. Курс лекций + +Курс лекций, читавшийся несколько лет назад. На данный момент книга является актуальной, но дисциплина организована несколько иначе. Из достоинств можно выделить хорошую организацию написанного материала, представленного в виде одинаковых порций разбитых на тематические лекции. Книга доступна в облаке и в университетской библиотеке. Отдельно стоит отметить, что часть читаемого на лекциях материала представлена только в этом издании. + +![../.pic/Other/Further%20readings/vt.jpg](../.pic/Other/Further%20readings/vt.jpg) + +## Э. Таненбаум и Т. Остин: Архитектура компьютера + +Книга для изучения компьютерной архитектуры от всемирно известного специалиста в области информационных технологий, писателя и преподавателя, выходящая уже в шестом издании и посвящена структурной организации компьютера. В качестве примеров архитектур рассматриваются **Intel Core i7**, **Texas Instrument OMAP4430** и **Atmel ATmega168**. Книга рассчитана на широкий круг читателей, так что можешь читать ее без опасения что что-то не поймешь, хотя не все с этим согласятся, некоторым (включая автора этих строк) книга [не нравится](https://habr.com/ru/post/589091/). Чувствуется влияние того, что Таненбаум чаще взаимодействует с цифровой аппаратурой в роли программиста, а не разработчика. Вероятно это поможет в освоении материала обучающимся на соответствующих специальностях. Купить книгу можно [тут](https://www.piter.com/collection/all/product/arhitektura-kompyutera-6-e-izd-2). + +![../.pic/Other/Further%20readings/tanenbaum.jpg](../.pic/Other/Further%20readings/tanenbaum.jpg) + +## Дональд Томас: Логическое проектирование и верификация систем на SystemVerilog + +Тем, кто не только пытается разобраться в принципах работы компьютера, но и сам хочет разрабатывать цифровые устройства потребуется более серьезно изучить какой-нибудь современный язык описания аппаратуры. Сходу, многие посоветуют SystemVerilog – наиболее популярный вариант в индустрии. Он является более современной версией Verilog, лишенной некоторых его недостатков. + +![../.pic/Other/Further%20readings/svbook.png](../.pic/Other/Further%20readings/svbook.png) diff --git a/README.md b/README.md index 17593a1a..2e95f6e0 100644 --- a/README.md +++ b/README.md @@ -14,8 +14,8 @@ - конспекты лекций (WIP) - методички по лабораторным работам ([Labs](Labs/)) -- дополнительные материалы и литературу (WIP) -- популярные материалы (WIP) +- дополнительные материалы и литературу ([Other/Further readings.md](Other/Further%20readings.md)) +- популярные материалы ([Other/Extras.md](Other/Extras.md)) - информацию о преподавателях, их расписание и контакты ([Other/Educators.md](Other/Educators.md)) - страницу с анонимной обратной связью (WIP). From 3eb2c57df72de46dbdd33592a30b6e6eecdc3f75 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sat, 16 Sep 2023 21:22:11 +0300 Subject: [PATCH 05/35] =?UTF-8?q?=D0=94=D0=BE=D0=B1=D0=B0=D0=B2=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=D0=B0=20=D1=81=D1=81=D1=8B=D0=BB=D0=BA=D0=B0=20?= =?UTF-8?q?=D0=BD=D0=B0=20=D0=B0=D0=BD=D0=BE=D0=BD=D0=B8=D0=BC=D0=BD=D1=8B?= =?UTF-8?q?=D0=B9=20=D0=BE=D1=82=D0=B7=D1=8B=D0=B2?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index 2e95f6e0..b367a717 100644 --- a/README.md +++ b/README.md @@ -17,7 +17,7 @@ - дополнительные материалы и литературу ([Other/Further readings.md](Other/Further%20readings.md)) - популярные материалы ([Other/Extras.md](Other/Extras.md)) - информацию о преподавателях, их расписание и контакты ([Other/Educators.md](Other/Educators.md)) -- страницу с анонимной обратной связью (WIP). +- страницу с анонимной обратной связью ([Google Forms](https://docs.google.com/forms/d/e/1FAIpQLSdoLdMCnFOv-RS_E4ztVjVzPqy-pqcCcaD7JNx6F4r8Kd_8iw/viewform?usp=sharing)). Имея доступ к этой странице ты имеешь доступ ко всему курсу АПС. From 32664365eafb13a6cdc97e579b0309cfbd5beefb Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sat, 16 Sep 2023 21:28:13 +0300 Subject: [PATCH 06/35] =?UTF-8?q?=D0=94=D0=BE=D0=B1=D0=B0=D0=B2=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=D0=B0=20=D1=81=D1=81=D1=8B=D0=BB=D0=BA=D0=B0=20?= =?UTF-8?q?=D0=BD=D0=B0=20=D0=B2=D0=B8=D0=B4=D0=B5=D0=BE=D0=B7=D0=B0=D0=BF?= =?UTF-8?q?=D0=B8=D1=81=D0=B8=20=D0=BB=D0=B5=D0=BA=D1=86=D0=B8=D0=B9?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/README.md b/README.md index b367a717..1303d463 100644 --- a/README.md +++ b/README.md @@ -12,7 +12,7 @@ Это путеводитель по дисциплине «Архитектуры процессорных систем». Здесь ты найдёшь ссылки на всю информацию генерируемую в данном курсе: -- конспекты лекций (WIP) +- [Видеозаписи](https://www.youtube.com/c/%D0%90%D0%9F%D0%A1%D0%9F%D0%BE%D0%BF%D0%BE%D0%B2) и конспекты (WIP) лекций с прошлых лет. - методички по лабораторным работам ([Labs](Labs/)) - дополнительные материалы и литературу ([Other/Further readings.md](Other/Further%20readings.md)) - популярные материалы ([Other/Extras.md](Other/Extras.md)) From 212714af94178793e832f33de71f5f30ab9912e2 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sun, 17 Sep 2023 11:11:39 +0300 Subject: [PATCH 07/35] =?UTF-8?q?=D0=9A=D0=BE=D0=BC=D0=BF=D0=B8=D0=BB?= =?UTF-8?q?=D1=8F=D1=86=D0=B8=D1=8F=20=D0=B8=20=D1=80=D0=B0=D0=B7=D0=B2?= =?UTF-8?q?=D0=B5=D1=80=D1=82=D1=8B=D0=B2=D0=B0=D0=BD=D0=B8=D0=B5=20=D0=BE?= =?UTF-8?q?=D0=BD=D0=BB=D0=B0=D0=B9=D0=BD-=D0=BA=D0=BD=D0=B8=D0=B3=D0=B8?= =?UTF-8?q?=20(#2)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .github/SUMMARY.md | 49 ++++++++++++++++++++++++++++ .github/book.toml | 6 ++++ .github/prepare.sh | 5 +++ .github/workflows/mdbook.yml | 62 ++++++++++++++++++++++++++++++++++++ 4 files changed, 122 insertions(+) create mode 100644 .github/SUMMARY.md create mode 100644 .github/book.toml create mode 100644 .github/prepare.sh create mode 100644 .github/workflows/mdbook.yml diff --git a/.github/SUMMARY.md b/.github/SUMMARY.md new file mode 100644 index 00000000..afc20549 --- /dev/null +++ b/.github/SUMMARY.md @@ -0,0 +1,49 @@ +# Summary + +[Что такое Язык Описания Аппаратуры](Introduction/What%20is%20HDL.md) +[Как работает ПЛИС](Introduction/How%20FPGA%20works.md) + +--- + +# Цикл лабораторных работ + +- [Лабораторная №1. Сумматор](Labs/01.%20Adder/README.md) +- [Лабораторная №2. АЛУ](Labs/02.%20Arithmetic-logic%20unit/README.md) +- [Лабораторная №3. Регистровый файл и внешняя память](Labs/03.%20Register%20file%20and%20memory/README.md) +- [Лабораторная №4. Простейшее программируемое устройство](Labs/04.%20Primitive%20programmable%20device/README.md) +- [Лабораторная №5. Основной дешифратор](Labs/05.%20Main%20decoder/README.md) +- [Лабораторная №6. Тракт данных](Labs/06.%20Datapath/README.md) +- [Лабораторная №7. Внешняя память]() +- [Лабораторная №8. Блок загрузки и сохранения](Labs/08.%20Load-store%20unit/README.md) +- [Лабораторная №9. Интеграция LSU](Labs/09.%20LSU%20Integration/README.md) +- [Лабораторная №10. Подсистема прерываний](Labs/10.%20Interrupt%20subsystem/README.md) +- [Лабораторная №11. Интеграция подсистемы прерываний](Labs/11.%20Interrupt%20integration/README.md) +- [Лабораторная №12. Периферийные устройства](Labs/12.%20Peripheral%20units/README.md) +- [Лабораторная №13. Программирование](Labs/13.%20Programming/README.md) + +--- + +# Базовые структуры языка SystemVerilog + +- [Модули](Basic%20Verilog%20structures/Modules.md) +- [Мультиплексоры](Basic%20Verilog%20structures/Multiplexors.md) +- [Регистры](Basic%20Verilog%20structures/Registers.md) +- [Конкатенация](Basic%20Verilog%20structures/Concatenation.md) +- [Контроллеры](Basic%20Verilog%20structures/Controllers.md) +- [Тестовое окружение](Basic%20Verilog%20structures/Testbench.md) + +--- + +# Основы Vivado + +- [Руководство по установке Vivado](Vivado%20Basics/Install%20Vivado.md) +- [Создание проекта в Vivado](Vivado%20Basics/Vivado%20trainer.md) +- [Структура директорий в проекте Vivado](Vivado%20Basics/Folder%20Structure%20In%20The%20Project.md) +- [Как сгенерировать схему](Vivado%20Basics/How%20to%20open%20a%20schematic.md) +- [Ошибки элаборации](Vivado%20Basics/Elaboration%20failed.md) +- [Шаги имплементации](Vivado%20Basics/Implementation%20steps.md) +- [Запуск симуляции](Vivado%20Basics/Run%20Simulation.md) +- [Руководство по поиску ошибок](Vivado%20Basics/Debug%20manual.md) +- [Руководство по прошивке ПЛИС](Vivado%20Basics/Program%20nexys%20a7.md) +- [Заголовочные файлы в Verilog](Vivado%20Basics/Verilog%20Header.md) +- [Как добавить файл, инициализирующий память](Vivado%20Basics/How%20to%20add%20a%20mem-file.md) diff --git a/.github/book.toml b/.github/book.toml new file mode 100644 index 00000000..5706bb7f --- /dev/null +++ b/.github/book.toml @@ -0,0 +1,6 @@ +[book] +authors = ["Andrei Solodovnikov"] +language = "ru" +multilingual = true +src = "src" +title = "Архитектуры процессорных систем" diff --git a/.github/prepare.sh b/.github/prepare.sh new file mode 100644 index 00000000..76fd1f4b --- /dev/null +++ b/.github/prepare.sh @@ -0,0 +1,5 @@ +#!/bin/bash + +mkdir src +cp .github/book.toml ./ +cp -R .github/SUMMARY.md .pic/ Basic\ Verilog\ structures/ Introduction/ Labs/ Vivado\ Basics/ src/ \ No newline at end of file diff --git a/.github/workflows/mdbook.yml b/.github/workflows/mdbook.yml new file mode 100644 index 00000000..36abf88f --- /dev/null +++ b/.github/workflows/mdbook.yml @@ -0,0 +1,62 @@ +# Sample workflow for building and deploying a mdBook site to GitHub Pages +# +# To get started with mdBook see: https://rust-lang.github.io/mdBook/index.html +# +name: Deploy mdBook site to Pages + +on: + # Runs on pushes targeting the default branch + push: + branches: ["master"] + + # Allows you to run this workflow manually from the Actions tab + workflow_dispatch: + +# Sets permissions of the GITHUB_TOKEN to allow deployment to GitHub Pages +permissions: + contents: read + pages: write + id-token: write + +# Allow only one concurrent deployment, skipping runs queued between the run in-progress and latest queued. +# However, do NOT cancel in-progress runs as we want to allow these production deployments to complete. +concurrency: + group: "pages" + cancel-in-progress: false + +jobs: + # Build job + build: + runs-on: ubuntu-latest + env: + MDBOOK_VERSION: 0.4.21 + steps: + - uses: actions/checkout@v3 + - name: Install mdBook + run: | + chmod +x .github/prepare.sh + .github/prepare.sh + curl --proto '=https' --tlsv1.2 https://sh.rustup.rs -sSf -y | sh + rustup update + cargo install --version ${MDBOOK_VERSION} mdbook + - name: Setup Pages + id: pages + uses: actions/configure-pages@v3 + - name: Build with mdBook + run: mdbook build + - name: Upload artifact + uses: actions/upload-pages-artifact@v2 + with: + path: ./book + + # Deployment job + deploy: + environment: + name: github-pages + url: ${{ steps.deployment.outputs.page_url }} + runs-on: ubuntu-latest + needs: build + steps: + - name: Deploy to GitHub Pages + id: deployment + uses: actions/deploy-pages@v2 From 6c4a03b68a4798035c58e884a9922f4917586684 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sun, 17 Sep 2023 11:45:42 +0300 Subject: [PATCH 08/35] =?UTF-8?q?=D0=9E=D0=B1=D0=BD=D0=BE=D0=B2=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=D0=B8=D0=B5=20github=20pages=20(#3)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Добавлена начальная страница с порядком выполнения лаб, чтобы первой была страница без пикч. Шаги с компиляцией всех зависимостей заменены на скачивание готового бинаря, что сокращает время развертывания до 1 минуты. --- .github/SUMMARY.md | 1 + .github/index.md | 49 ++++++++++++++++++++++++++++++++++++ .github/prepare.sh | 2 +- .github/workflows/mdbook.yml | 8 +++--- 4 files changed, 55 insertions(+), 5 deletions(-) create mode 100644 .github/index.md diff --git a/.github/SUMMARY.md b/.github/SUMMARY.md index afc20549..1f44e875 100644 --- a/.github/SUMMARY.md +++ b/.github/SUMMARY.md @@ -1,5 +1,6 @@ # Summary +[Порядок выполнения лабораторных работ для групп](index.md) [Что такое Язык Описания Аппаратуры](Introduction/What%20is%20HDL.md) [Как работает ПЛИС](Introduction/How%20FPGA%20works.md) diff --git a/.github/index.md b/.github/index.md new file mode 100644 index 00000000..7e8e4306 --- /dev/null +++ b/.github/index.md @@ -0,0 +1,49 @@ +# Курс лабораторных работ + +## Полезное + +- [Студенческий сервер](../Other/Students%20server.md) +- [Создание базового проекта с прошивкой ПЛИС в Vivado](../Vivado%20Basics/Vivado%20trainer.md) +- [Базовые конструкции Verilog](../Basic%20Verilog%20structures/) +- [Список типичных ошибок в Vivado и SystemVerilog](../Other/FAQ.md) +- [Тестовое окружение](../Basic%20Verilog%20structures/Testbench.md) + +## Порядок выполнения лабораторных работ для групп + +### ИБ, ИКТ, КТ, РТ + +1. Сумматор ([01. Adder](01.%20Adder)) +2. АЛУ ([02. Arithmetic-logic unit](02.%20Arithmetic-logic%20unit)) +3. Регистровый файл и внешняя память ([03. Register file and memory](03.%20Register%20file%20and%20memory)) +4. Простейшее программируемое устройство ([04. Primitive programmable device](04.%20Primitive%20programmable%20device)) + +### ПИН, ПМ + +1. Сумматор ([01. Adder](01.%20Adder)) +2. АЛУ ([02. Arithmetic-logic unit](02.%20Arithmetic-logic%20unit)) +3. Регистровый файл и внешняя память ([03. Register file and memory](03.%20Register%20file%20and%20memory)) +4. Простейшее программируемое устройство ([04. Primitive programmable device](04.%20Primitive%20programmable%20device)) +5. Основной дешифратор ([05. Main decoder](05.%20Main%20decoder)) +6. + 1. Тракт данных ([06. Datapath](06.%20Datapath)) + 2. Интеграция блока загрузки и сохранения ([09. LSU Integration](09.%20LSU%20Integration)) + 3. Интеграция подсистемы прерываний ([11. Interrupt Integration](11.%20Interrupt%20integration)) +7. Периферийные устройства ([12. Peripheral units](12.%20Peripheral%20units)) +8. Программирование ([13. Programming](13.%20Programming)) + +### ИВТ + +1. АЛУ ([02. Arithmetic-logic unit](02.%20Arithmetic-logic%20unit)) +2. + 1. Память ([03. Register file and memory](03.%20Register%20file%20and%20memory)), + 2. Простейшее программируемое устройство ([04. Primitive programmable device](04.%20Primitive%20programmable%20device)) +3. Основной дешифратор ([05. Main decoder](05.%20Main%20decoder)) +4. Тракт данных ([06. Datapath](06.%20Datapath)) +5. + 1. Модуль загрузки и сохранения ([08. Load-store unit](08.%20Load-store%20unit)) + 2. Интеграция блока загрузки и сохранения ([09. LSU Integration](09.%20LSU%20Integration)) +6. + 1. Контроллер прерываний ([10. Interrupt subsystem](10.%20Interrupt%20subsystem)) + 2. Интеграция подсистемы прерываний ([11. Interrupt Integration](11.%20Interrupt%20integration)) +7. Периферийные устройства ([12. Peripheral units](12.%20Peripheral%20units)) +8. Программирование ([13. Programming](13.%20Programming)) diff --git a/.github/prepare.sh b/.github/prepare.sh index 76fd1f4b..746122fa 100644 --- a/.github/prepare.sh +++ b/.github/prepare.sh @@ -2,4 +2,4 @@ mkdir src cp .github/book.toml ./ -cp -R .github/SUMMARY.md .pic/ Basic\ Verilog\ structures/ Introduction/ Labs/ Vivado\ Basics/ src/ \ No newline at end of file +cp -R .github/SUMMARY.md .github/index.md .pic/ Basic\ Verilog\ structures/ Introduction/ Labs/ Vivado\ Basics/ src/ \ No newline at end of file diff --git a/.github/workflows/mdbook.yml b/.github/workflows/mdbook.yml index 36abf88f..a2a8855c 100644 --- a/.github/workflows/mdbook.yml +++ b/.github/workflows/mdbook.yml @@ -36,14 +36,14 @@ jobs: run: | chmod +x .github/prepare.sh .github/prepare.sh - curl --proto '=https' --tlsv1.2 https://sh.rustup.rs -sSf -y | sh - rustup update - cargo install --version ${MDBOOK_VERSION} mdbook + mkdir bin + curl -sSL https://github.com/rust-lang/mdBook/releases/download/v0.4.34/mdbook-v0.4.34-x86_64-unknown-linux-gnu.tar.gz | tar -xz --directory=bin + bin/mdbook build - name: Setup Pages id: pages uses: actions/configure-pages@v3 - name: Build with mdBook - run: mdbook build + run: bin/mdbook build - name: Upload artifact uses: actions/upload-pages-artifact@v2 with: From febe9c3a4363b5cd8bc1d386c017ee437cc6db1d Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sun, 17 Sep 2023 12:07:51 +0300 Subject: [PATCH 09/35] =?UTF-8?q?=D0=98=D1=81=D0=BF=D1=80=D0=B0=D0=B2?= =?UTF-8?q?=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D1=81=D1=81=D1=8B=D0=BB=D0=BE?= =?UTF-8?q?=D0=BA=20=D0=B2=20=D0=BD=D0=B0=D1=87=D0=B0=D0=BB=D1=8C=D0=BD?= =?UTF-8?q?=D0=BE=D0=BC=20=D1=84=D0=B0=D0=B9=D0=BB=D0=B5=20=D0=BE=D0=BD?= =?UTF-8?q?=D0=BB=D0=B0=D0=B9=D0=BD-=D0=BA=D0=BD=D0=B8=D0=B6=D0=BA=D0=B8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .github/SUMMARY.md | 6 +++++ .github/index.md | 60 +++++++++++++++++++++++----------------------- .github/prepare.sh | 2 +- 3 files changed, 37 insertions(+), 31 deletions(-) diff --git a/.github/SUMMARY.md b/.github/SUMMARY.md index 1f44e875..d25d2b2e 100644 --- a/.github/SUMMARY.md +++ b/.github/SUMMARY.md @@ -48,3 +48,9 @@ - [Руководство по прошивке ПЛИС](Vivado%20Basics/Program%20nexys%20a7.md) - [Заголовочные файлы в Verilog](Vivado%20Basics/Verilog%20Header.md) - [Как добавить файл, инициализирующий память](Vivado%20Basics/How%20to%20add%20a%20mem-file.md) + +# Остальное + +- [RV32I - Стандартный набор целочисленных инструкций RISC-V](Other/rv32i.md) +- [Список типичных ошибок при работе с Vivado и SystemVerilog](Other/FAQ.md) +- [Студенческий сервер](Other/Students%20server.md) diff --git a/.github/index.md b/.github/index.md index 7e8e4306..5ca4d322 100644 --- a/.github/index.md +++ b/.github/index.md @@ -2,48 +2,48 @@ ## Полезное -- [Студенческий сервер](../Other/Students%20server.md) -- [Создание базового проекта с прошивкой ПЛИС в Vivado](../Vivado%20Basics/Vivado%20trainer.md) -- [Базовые конструкции Verilog](../Basic%20Verilog%20structures/) -- [Список типичных ошибок в Vivado и SystemVerilog](../Other/FAQ.md) -- [Тестовое окружение](../Basic%20Verilog%20structures/Testbench.md) +- [Студенческий сервер](Other/Students%20server.md) +- [Создание базового проекта с прошивкой ПЛИС в Vivado](Vivado%20Basics/Vivado%20trainer.md) +- [Базовые конструкции Verilog](Basic%20Verilog%20structures/) +- [Список типичных ошибок в Vivado и SystemVerilog](Other/FAQ.md) +- [Тестовое окружение](Basic%20Verilog%20structures/Testbench.md) ## Порядок выполнения лабораторных работ для групп ### ИБ, ИКТ, КТ, РТ -1. Сумматор ([01. Adder](01.%20Adder)) -2. АЛУ ([02. Arithmetic-logic unit](02.%20Arithmetic-logic%20unit)) -3. Регистровый файл и внешняя память ([03. Register file and memory](03.%20Register%20file%20and%20memory)) -4. Простейшее программируемое устройство ([04. Primitive programmable device](04.%20Primitive%20programmable%20device)) +1. Сумматор ([01. Adder](Labs/01.%20Adder)) +2. АЛУ ([02. Arithmetic-logic unit](Labs/02.%20Arithmetic-logic%20unit)) +3. Регистровый файл и внешняя память ([03. Register file and memory](Labs/03.%20Register%20file%20and%20memory)) +4. Простейшее программируемое устройство ([04. Primitive programmable device](Labs/04.%20Primitive%20programmable%20device)) ### ПИН, ПМ -1. Сумматор ([01. Adder](01.%20Adder)) -2. АЛУ ([02. Arithmetic-logic unit](02.%20Arithmetic-logic%20unit)) -3. Регистровый файл и внешняя память ([03. Register file and memory](03.%20Register%20file%20and%20memory)) -4. Простейшее программируемое устройство ([04. Primitive programmable device](04.%20Primitive%20programmable%20device)) -5. Основной дешифратор ([05. Main decoder](05.%20Main%20decoder)) +1. Сумматор ([01. Adder](Labs/01.%20Adder)) +2. АЛУ ([02. Arithmetic-logic unit](Labs/02.%20Arithmetic-logic%20unit)) +3. Регистровый файл и внешняя память ([03. Register file and memory](Labs/03.%20Register%20file%20and%20memory)) +4. Простейшее программируемое устройство ([04. Primitive programmable device](Labs/04.%20Primitive%20programmable%20device)) +5. Основной дешифратор ([05. Main decoder](Labs/05.%20Main%20decoder)) 6. - 1. Тракт данных ([06. Datapath](06.%20Datapath)) - 2. Интеграция блока загрузки и сохранения ([09. LSU Integration](09.%20LSU%20Integration)) - 3. Интеграция подсистемы прерываний ([11. Interrupt Integration](11.%20Interrupt%20integration)) -7. Периферийные устройства ([12. Peripheral units](12.%20Peripheral%20units)) -8. Программирование ([13. Programming](13.%20Programming)) + 1. Тракт данных ([06. Datapath](Labs/06.%20Datapath)) + 2. Интеграция блока загрузки и сохранения ([09. LSU Integration](Labs/09.%20LSU%20Integration)) + 3. Интеграция подсистемы прерываний ([11. Interrupt Integration](Labs/11.%20Interrupt%20integration)) +7. Периферийные устройства ([12. Peripheral units](Labs/12.%20Peripheral%20units)) +8. Программирование ([13. Programming](Labs/13.%20Programming)) ### ИВТ -1. АЛУ ([02. Arithmetic-logic unit](02.%20Arithmetic-logic%20unit)) +1. АЛУ ([02. Arithmetic-logic unit](Labs/02.%20Arithmetic-logic%20unit)) 2. - 1. Память ([03. Register file and memory](03.%20Register%20file%20and%20memory)), - 2. Простейшее программируемое устройство ([04. Primitive programmable device](04.%20Primitive%20programmable%20device)) -3. Основной дешифратор ([05. Main decoder](05.%20Main%20decoder)) -4. Тракт данных ([06. Datapath](06.%20Datapath)) + 1. Память ([03. Register file and memory](Labs/03.%20Register%20file%20and%20memory)), + 2. Простейшее программируемое устройство ([04. Primitive programmable device](Labs/04.%20Primitive%20programmable%20device)) +3. Основной дешифратор ([05. Main decoder](Labs/05.%20Main%20decoder)) +4. Тракт данных ([06. Datapath](Labs/06.%20Datapath)) 5. - 1. Модуль загрузки и сохранения ([08. Load-store unit](08.%20Load-store%20unit)) - 2. Интеграция блока загрузки и сохранения ([09. LSU Integration](09.%20LSU%20Integration)) + 1. Модуль загрузки и сохранения ([08. Load-store unit](Labs/08.%20Load-store%20unit)) + 2. Интеграция блока загрузки и сохранения ([09. LSU Integration](Labs/09.%20LSU%20Integration)) 6. - 1. Контроллер прерываний ([10. Interrupt subsystem](10.%20Interrupt%20subsystem)) - 2. Интеграция подсистемы прерываний ([11. Interrupt Integration](11.%20Interrupt%20integration)) -7. Периферийные устройства ([12. Peripheral units](12.%20Peripheral%20units)) -8. Программирование ([13. Programming](13.%20Programming)) + 1. Контроллер прерываний ([10. Interrupt subsystem](Labs/10.%20Interrupt%20subsystem)) + 2. Интеграция подсистемы прерываний ([11. Interrupt Integration](Labs/11.%20Interrupt%20integration)) +7. Периферийные устройства ([12. Peripheral units](Labs/12.%20Peripheral%20units)) +8. Программирование ([13. Programming](Labs/13.%20Programming)) diff --git a/.github/prepare.sh b/.github/prepare.sh index 746122fa..7502bb99 100644 --- a/.github/prepare.sh +++ b/.github/prepare.sh @@ -2,4 +2,4 @@ mkdir src cp .github/book.toml ./ -cp -R .github/SUMMARY.md .github/index.md .pic/ Basic\ Verilog\ structures/ Introduction/ Labs/ Vivado\ Basics/ src/ \ No newline at end of file +cp -R .github/SUMMARY.md .github/index.md .pic/ Basic\ Verilog\ structures/ Introduction/ Labs/ Vivado\ Basics/ Other/ src/ \ No newline at end of file From c9d928469c6b4f14410cc43cef6f5d59e8227d65 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Sun, 17 Sep 2023 12:27:47 +0300 Subject: [PATCH 10/35] =?UTF-8?q?=D0=A3=D0=B1=D1=80=D0=B0=D0=BD=D0=BE=20?= =?UTF-8?q?=D0=B8=D0=B7=D0=B1=D1=8B=D1=82=D0=BE=D1=87=D0=BD=D0=BE=D0=B5=20?= =?UTF-8?q?=D0=BA=D0=BE=D0=BF=D0=B8=D1=80=D0=BE=D0=B2=D0=B0=D0=BD=D0=B8?= =?UTF-8?q?=D0=B5=20(#4)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .github/book.toml | 2 +- .github/prepare.sh | 3 +-- 2 files changed, 2 insertions(+), 3 deletions(-) diff --git a/.github/book.toml b/.github/book.toml index 5706bb7f..b194b678 100644 --- a/.github/book.toml +++ b/.github/book.toml @@ -2,5 +2,5 @@ authors = ["Andrei Solodovnikov"] language = "ru" multilingual = true -src = "src" +src = "./" title = "Архитектуры процессорных систем" diff --git a/.github/prepare.sh b/.github/prepare.sh index 7502bb99..355a9883 100644 --- a/.github/prepare.sh +++ b/.github/prepare.sh @@ -1,5 +1,4 @@ #!/bin/bash mkdir src -cp .github/book.toml ./ -cp -R .github/SUMMARY.md .github/index.md .pic/ Basic\ Verilog\ structures/ Introduction/ Labs/ Vivado\ Basics/ Other/ src/ \ No newline at end of file +cp .github/book.toml .github/SUMMARY.md .github/index.md ./ \ No newline at end of file From 4fda8b7a3f49e9d7d317c2300c522411dfa5a17d Mon Sep 17 00:00:00 2001 From: Nekkit6 Date: Sun, 17 Sep 2023 23:43:23 +0300 Subject: [PATCH 11/35] =?UTF-8?q?=D0=9F=D1=80=D0=B0=D0=B2=D0=BA=D0=B8=20?= =?UTF-8?q?=D0=B8=D0=B7=20=D1=80=D0=B5=D0=B2=D1=8C=D1=8E?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/03. Register file and memory/tb_data_mem.sv | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Labs/03. Register file and memory/tb_data_mem.sv b/Labs/03. Register file and memory/tb_data_mem.sv index 784d2476..d7807cfd 100644 --- a/Labs/03. Register file and memory/tb_data_mem.sv +++ b/Labs/03. Register file and memory/tb_data_mem.sv @@ -37,8 +37,8 @@ parameter STEP = 8; .mem_req_i (REQ), .write_enable_i (WE ), .addr_i (A ), - .write_data_i (WD ), - .read_data_o (RD ) + .write_data_i (WD), + .read_data_o (RD) ); logic [31:0] RDa; @@ -90,7 +90,7 @@ parameter STEP = 8; REQ = 1; #TIME_OPERATION; if (RD !== 32'd3735928559) begin - $display("When reading (write_enable_i = %h) at an address greater than 16383 (addr = %d), it should return dead_beef your data: %h_%h, time: %t", WE, A, RD[31:16],RD[15:0], $time); + $display("When reading (write_enable_i = %h) at address greater than 16383 (current addr = %d), it should return dead_beef, but your data: %h_%h, time: %t", WE, A, RD[31:16],RD[15:0], $time); err_count = err_count + 1; end end From 16b837078ee00c2b3ee3ce66d4c7fabe3a51d57c Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 08:22:52 +0300 Subject: [PATCH 12/35] =?UTF-8?q?=D0=98=D1=81=D0=BF=D1=80=D0=B0=D0=B2?= =?UTF-8?q?=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D1=81=D1=81=D1=8B=D0=BB=D0=BA?= =?UTF-8?q?=D0=B8=20=D0=B2=20Controllers.md?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Basic Verilog structures/Controllers.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Basic Verilog structures/Controllers.md b/Basic Verilog structures/Controllers.md index c124fa71..55a8839a 100644 --- a/Basic Verilog structures/Controllers.md +++ b/Basic Verilog structures/Controllers.md @@ -2,7 +2,7 @@ Для того, чтобы лучше понять, что от вас требуется в рамках лабораторной работы по периферийным устройствам, рассмотрим процесс разработки структурной схемы (не SystemVerilog-описания) для контроллера светодиодов. -В первую очередь, здесь будет продублирована выдержка из спецификации на этот контроллер (общая часть раздела "[Описание контроллеров периферийных устройств](../../Labs/7.%20Peripheral%20units/README.md#описание-контроллеров-периферийных-устройств)", а также подраздел "[Светодиоды](../../Labs/7.%20Peripheral%20units/README.md#светодиоды)"): +В первую очередь, здесь будет продублирована выдержка из спецификации на этот контроллер (общая часть раздела "[Описание контроллеров периферийных устройств](../Labs/12.%20Peripheral%20units/README.md#описание-контроллеров-периферийных-устройств)", а также подраздел "[Светодиоды](../Labs/12.%20Peripheral%20units/README.md#светодиоды)"): ## Спецификация контроллера From e50170db941bf49822731da920311c18d1bc5ab7 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 11:10:28 +0300 Subject: [PATCH 13/35] =?UTF-8?q?=D0=98=D1=81=D0=BF=D1=80=D0=B0=D0=B2?= =?UTF-8?q?=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BF=D0=BE=D0=B4=D0=BA=D0=BB?= =?UTF-8?q?=D1=8E=D1=87=D0=B5=D0=BD=D0=B8=D1=8F=20=D1=81=D1=83=D0=BC=D0=BC?= =?UTF-8?q?=D0=B0=D1=82=D0=BE=D1=80=D0=B0=20=D0=B2=20nexys=5Fadder?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/01. Adder/board files/nexys_adder.sv | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Labs/01. Adder/board files/nexys_adder.sv b/Labs/01. Adder/board files/nexys_adder.sv index f4c69aca..0847b5d0 100644 --- a/Labs/01. Adder/board files/nexys_adder.sv +++ b/Labs/01. Adder/board files/nexys_adder.sv @@ -30,12 +30,12 @@ reg [15:0] LEDr; fulladder32 DUT ( - .A (A), - .B (B), - .Pin (Pin), + .a_i (A), + .b_i (B), + .carry_i (Pin), - .S (S), - .Pout (Pout) + .sum_o (S), + .carry_o (Pout) ); assign B = {24'b0,SW[7:0]}; @@ -127,4 +127,4 @@ always @(posedge CLK100) begin end end -endmodule \ No newline at end of file +endmodule From 8ef416fe50515fadd8fbec0a66df4445a4ef5737 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 11:23:54 +0300 Subject: [PATCH 14/35] =?UTF-8?q?=D0=98=D1=81=D0=BF=D1=80=D0=B0=D0=B2?= =?UTF-8?q?=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D1=81=D1=81=D1=8B=D0=BB=D0=BE?= =?UTF-8?q?=D0=BA=20=D0=B2=20nexys=5Fadder?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/01. Adder/board files/README.md | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Labs/01. Adder/board files/README.md b/Labs/01. Adder/board files/README.md index e67ef715..2964d509 100644 --- a/Labs/01. Adder/board files/README.md +++ b/Labs/01. Adder/board files/README.md @@ -4,20 +4,20 @@ После этого наше устройство будет выглядеть так: -![../../../.pic/Labs/board%20files/board%20files/nexys_adder1.png](../../../.pic/Labs/board%20files/board%20files/nexys_adder1.png) +![../../../.pic/Labs/board%20files/nexys_adder1.png](../../../.pic/Labs/board%20files/nexys_adder1.png) Подключенное окружение позволяет производить ввод входных значений (А, В и Pin) с помощью переключателей (номер переключателя отображен на самом краю платы), расположенных на плате. Операнд А задается переключателями 15-8, В: 7-0, Pin: тактовая кнопка BTND (нижняя из 5-ти, расположенных вместе в форме крестовины). Семисегментные индикаторы в шестнадцатиричном формате отображают на левом блоке слагаемые А и В, а на правом - результат сложения. На светодиодах, расположенных над переключателями отображается результат в двоичном формате. Управление сумматором через плату -![../../../.pic/Labs/board%20files/board%20files/nexys_adder2.png](../../../.pic/Labs/board%20files/board%20files/nexys_adder2.png) +![../../../.pic/Labs/board%20files/nexys_adder2.png](../../../.pic/Labs/board%20files/nexys_adder2.png) Для прошивки ПЛИС подключите утройство через USB, включите питание переключателем, выполните синтез и имплементацию вашего дизайна и сгенерируйте битстрим. Если на этом этапе у вас возникают ошибки, постарайтесь исправить из с помощью [`инструкции по работе с ошибками синтеза`](../../../Vivado%20Basics/Elaboration%20failed.md). После этого выберите в левом меню `Open Target` - `Auto Connect`, затем `Program Device` и ваше устройство прошьется. Генерация битстрима -![../../../.pic/Labs/board%20files/board%20files/Program_Device1.png](../../../.pic/Labs/board%20files/board%20files/Program_Device1.png) +![../../../.pic/Labs/board%20files/Program_Device1.png](../../../.pic/Labs/board%20files/Program_Device1.png) Прошивка ПЛИС -![../../../.pic/Labs/board%20files/board%20files/Program_Device2.png](../../../.pic/Labs/board%20files/board%20files/Program_Device2.png) +![../../../.pic/Labs/board%20files/Program_Device2.png](../../../.pic/Labs/board%20files/Program_Device2.png) Попробуйте выставить на переключателях различные слагаемые, убедитесь, что все работает исправно и сдавайте работу. From 020ddac7b82cab2818206df4b88e49a30a743512 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 11:26:55 +0300 Subject: [PATCH 15/35] =?UTF-8?q?=D0=98=D1=81=D0=BF=D1=80=D0=B0=D0=B2?= =?UTF-8?q?=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D1=81=D1=81=D1=8B=D0=BB=D0=BE?= =?UTF-8?q?=D0=BA=20=D0=B2=20nexys=5Falu?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/02. Arithmetic-logic unit/board files/README.md | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/Labs/02. Arithmetic-logic unit/board files/README.md b/Labs/02. Arithmetic-logic unit/board files/README.md index 6a404b85..1117c3cc 100644 --- a/Labs/02. Arithmetic-logic unit/board files/README.md +++ b/Labs/02. Arithmetic-logic unit/board files/README.md @@ -4,20 +4,20 @@ После этого наше устройство будет выглядеть так: -![../../../.pic/Labs/board%20files/board%20files/alu_9.png](../../../.pic/Labs/board%20files/board%20files/alu_9.png) +![../../../.pic/Labs/board%20files/alu_9.png](../../../.pic/Labs/board%20files/alu_9.png) Подключенное окружение позволяет производить ввод входных значений (А и В) и управляющего сигнала (ALUOp) с помощью переключателей (номер переключателя отображен на самом краю платы), расположенных на плате. А: 15-11, В: 10-6, ALUOp: 4-0, а переключатель №5 активирует семисегментные индикаторы, на которых отображается на левом блоке операнды А и В, а на правом - ALUOp. На светодиодах, расположенных над переключателями отображается выходное значение в двоичном формате, а 15-й светодиод отвечает за сигнал `Flag` Управление АЛУ через плату -![../../../.pic/Labs/board%20files/board%20files/nexys_alu.png](../../../.pic/Labs/board%20files/board%20files/nexys_alu.png) +![../../../.pic/Labs/board%20files/nexys_alu.png](../../../.pic/Labs/board%20files/nexys_alu.png) Для прошивки ПЛИС подключите утройство через USB, включите питание переключателем, выполните синтез и имплементацию вашего дизайна и сгенерируйте битстрим. Если на этом этапе у вас возникают ошибки, постарайтесь исправить из с помощью [`инструкции по работе с ошибками синтеза`](../../../Vivado%20Basics/Synthesis%20failed.md). После этого выберите в левом меню `Open Target` - `Auto Connect`, затем `Program Device` и ваше устройство прошьется. Генерация битстрима -![../../../.pic/Labs/board%20files/board%20files/Program_Device1.png](../../../.pic/Labs/board%20files/board%20files/Program_Device1.png) +![../../../.pic/Labs/board%20files/Program_Device1.png](../../../.pic/Labs/board%20files/Program_Device1.png) Прошивка ПЛИС -![../../../.pic/Labs/board%20files/board%20files/Program_Device2.png](../../../.pic/Labs/board%20files/board%20files/Program_Device2.png) +![../../../.pic/Labs/board%20files/Program_Device2.png](../../../.pic/Labs/board%20files/Program_Device2.png) Попробуйте выставить на переключателях различные опкоды, такие как сложение, вычитание, сдвиг и сравнения, убедитесь, что все работает исправно и сдавайте работу. From d4db3540d117857cc649ddffe5c2b4b1b21c3938 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 12:04:40 +0300 Subject: [PATCH 16/35] Update tb_fulladder32.sv --- Labs/01. Adder/tb_fulladder32.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Labs/01. Adder/tb_fulladder32.sv b/Labs/01. Adder/tb_fulladder32.sv index d2b597f5..79b2ea52 100644 --- a/Labs/01. Adder/tb_fulladder32.sv +++ b/Labs/01. Adder/tb_fulladder32.sv @@ -20,7 +20,7 @@ ////////////////////////////////////////////////////////////////////////////////// module tb_fulladder32(); - +`define __debug__ parameter TIME_OPERATION = 100; parameter TEST_VALUES = 3000; From 552c43326ca553db2d31187d48c28322b4ea4148 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 20:06:08 +0300 Subject: [PATCH 17/35] Update tb_miriscv_alu.sv --- Labs/02. Arithmetic-logic unit/tb_miriscv_alu.sv | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/Labs/02. Arithmetic-logic unit/tb_miriscv_alu.sv b/Labs/02. Arithmetic-logic unit/tb_miriscv_alu.sv index e7369f90..5bb02a3e 100644 --- a/Labs/02. Arithmetic-logic unit/tb_miriscv_alu.sv +++ b/Labs/02. Arithmetic-logic unit/tb_miriscv_alu.sv @@ -60,6 +60,7 @@ reg [102:0] running_line; initial begin + running_line <= 0; $display( "\nStart test: \n\n==========================\nCLICK THE BUTTON 'Run All'\n==========================\n"); $stop(); for ( i = 0; i < TEST_VALUES; i = i + 1 ) begin @@ -10097,7 +10098,7 @@ initial line_dump = { 103'h3f27ac46eaaae4027700000000, 103'h16570085a76566615400000000, 103'h3cfd0b67e68d12a83e00000000, -103'h32d7053ffce4132de500000000, +103'hxxxxxxxxxxxxxxxxx000000000, 103'h073ec21038e11e95ca00000000 }; From 541cbb6021aec08b1c19d5276e4d5e735429f9df Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 21:07:45 +0300 Subject: [PATCH 18/35] =?UTF-8?q?=D0=9B=D0=A03.=20=D0=A3=D0=B1=D1=80=D0=B0?= =?UTF-8?q?=D0=BD=D0=BE=20=D0=BB=D0=B8=D1=88=D0=BD=D0=B5=D0=B5=20=D1=83?= =?UTF-8?q?=D0=BA=D0=B0=D0=B7=D0=B0=D0=BD=D0=B8=D0=B5=20=D0=B2=20=D0=BF?= =?UTF-8?q?=D0=BE=D1=80=D1=8F=D0=B4=D0=BA=D0=B5=20=D0=B2=D1=8B=D0=BF=D0=BE?= =?UTF-8?q?=D0=BB=D0=BD=D0=B5=D0=BD=D0=B8=D1=8F=20=D0=B7=D0=B0=D0=B4=D0=B0?= =?UTF-8?q?=D0=BD=D0=B8=D1=8F?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/03. Register file and memory/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Labs/03. Register file and memory/README.md b/Labs/03. Register file and memory/README.md index 3c2d6250..6ad9ad5e 100644 --- a/Labs/03. Register file and memory/README.md +++ b/Labs/03. Register file and memory/README.md @@ -346,7 +346,7 @@ mоdulе rf_r𝚒sсv( 1. В `Design Sources` проекта создайте `SystemVerilog`-файл `rf_riscv.sv`. 2. Опишите в нем модуль регистрового файла с таким же именем и портами, как указано в задании. 1. Обратите внимание, что имя памяти (не название модуля, а имя объекта памяти внутри модуля) должно быть `rf_mem`. Такое имя необходимо для корректной работы верификационного окружения. - 2. В отличии от памяти инструкций и данных, ячейки памяти регистрового файла должны быть 32-битными (а на 8-битными). Это означает, что реализация портов чтения и записи будет проще. + 2. Как и у памяти инструкций, порты чтения регистрового файла должны быть **асинхронными**. 3. Не забывайте, что у вас 2 порта на чтение и 1 порт на запись, при этом каждый порт не зависит от остальных (в модуле 3 независимых входа адреса). 4. Чтение из нулевого регистра (чтение по адресу 0) всегда должно возвращать нулевое значение. Этого можно добиться двумя путями: 1. Путем добавления мультиплексора перед выходным сигналом чтения (мультиплексор будет определять, пойдут ли на выход данные из ячейки регистрового файла, либо в случае если адрес равен нулю, на выход пойдет константа ноль). From 574cabd9f9d8ee8270d684232f0d0c174921b20c Mon Sep 17 00:00:00 2001 From: Nekkit6 Date: Mon, 18 Sep 2023 21:47:10 +0300 Subject: [PATCH 19/35] =?UTF-8?q?=D0=9F=D1=80=D0=B0=D0=B2=D0=BA=D0=B0=20?= =?UTF-8?q?=D1=82=D0=B1=20=D1=80=D0=B5=D0=B3=20=D1=84=D0=B0=D0=B9=D0=BB?= =?UTF-8?q?=D0=B0?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../tb_rf_riscv.sv | 1129 +---------------- 1 file changed, 66 insertions(+), 1063 deletions(-) diff --git a/Labs/03. Register file and memory/tb_rf_riscv.sv b/Labs/03. Register file and memory/tb_rf_riscv.sv index 4b142435..a0c55f15 100644 --- a/Labs/03. Register file and memory/tb_rf_riscv.sv +++ b/Labs/03. Register file and memory/tb_rf_riscv.sv @@ -45,14 +45,14 @@ module tb_rf_riscv(); ); rf_riscv_ref DUTref( - .clk(CLK ), - .A1 (RA1 ), - .A2 (RA2 ), - .A3 (WA ), - .WD3(WD ), - .WE (WE ), - .RD1(RD1ref), - .RD2(RD2ref) + .clk_i (CLK ), + .read_addr1_i (RA1 ), + .read_addr2_i (RA2 ), + .write_addr_i (WA ), + .write_data_i (WD ), + .write_enable_i(WE ), + .read_data1_o (RD1ref), + .read_data2_o (RD2ref) ); integer i, err_count = 0; @@ -153,1062 +153,65 @@ module tb_rf_riscv(); end endmodule +module rf_riscv_ref( + input logic clk_i, + input logic write_enable_i, -(* STRUCTURAL_NETLIST = "yes" *) -module rf_riscv_ref - (clk, - A1, - A2, - A3, - WD3, - WE, - RD1, - RD2); - input clk; - input [4:0]A1; - input [4:0]A2; - input [4:0]A3; - input [31:0]WD3; - input WE; - output [31:0]RD1; - output [31:0]RD2; + input logic [ 4:0] write_addr_i, + input logic [ 4:0] read_addr1_i, + input logic [ 4:0] read_addr2_i, - wire \ ; - wire [4:0]A1; - wire [4:0]A2; - wire [4:0]A3; - wire [31:0]RD1; - wire [31:0]RD11; - wire [31:0]RD2; - wire [31:0]RD21; - wire [31:0]WD3; - wire WE; - wire clk; + input logic [31:0] write_data_i, + output logic [31:0] read_data1_o, + output logic [31:0] read_data2_o +); +logic [31:0] rf_mem [0:31]; + +`define akjsdnnaskjdnreg $clog2(128) +`define cdyfguvhbjnmkreg $clog2(`akjsdnnaskjdnreg) +`define qwenklfsaklasdreg $clog2(`cdyfguvhbjnmkreg) +`define asdasdhkjasdsareg (34 >> `cdyfguvhbjnmkreg) + +always_ff @(posedge clk_i) begin + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}] <= write_data_i['h1f:'h1c]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}] <= write_data_i[42-23-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}] <= write_data_i[`akjsdnnaskjdnreg-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}] <= write_data_i[42-19-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}] <= write_data_i['h1b:'h18]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][11:{1'b1,{3{1'b0}}}] <= write_data_i[`akjsdnnaskjdnreg+`asdasdhkjasdsareg:(`akjsdnnaskjdnreg+`asdasdhkjasdsareg)-`cdyfguvhbjnmkreg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{2{1'b1}}:{3{1'b0}}] <= write_data_i[`akjsdnnaskjdnreg-`asdasdhkjasdsareg-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:4'b1100] <= write_data_i[(`akjsdnnaskjdnreg<<(`asdasdhkjasdsareg-`cdyfguvhbjnmkreg)) + (`asdasdhkjasdsareg-`cdyfguvhbjnmkreg):12]; +end + +always_comb begin + case(read_addr1_i === ('hBA & 'h45)) + 0: begin + read_data1_o['h1f:'h1c]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; + read_data1_o[42-23-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}]; + read_data1_o[`akjsdnnaskjdnreg-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; + read_data1_o[42-19-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; + read_data1_o['h1b:'h18]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}]; + read_data1_o[`akjsdnnaskjdnreg+`asdasdhkjasdsareg:(`akjsdnnaskjdnreg+`asdasdhkjasdsareg)-`cdyfguvhbjnmkreg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][11:8]; + read_data1_o[`akjsdnnaskjdnreg-`asdasdhkjasdsareg-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][3:0]; + read_data1_o[(`akjsdnnaskjdnreg<<(`asdasdhkjasdsareg-`cdyfguvhbjnmkreg)) + (`asdasdhkjasdsareg-`cdyfguvhbjnmkreg):12 ]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:12]; + end + default: read_data1_o = 'hBA & 'h45; + endcase +end +always_comb begin + case(read_addr2_i === ('hBA & 'h45)) + 0: begin + read_data2_o['h1f:'h1c]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; + read_data2_o[42-23-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}]; + read_data2_o[`akjsdnnaskjdnreg-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; + read_data2_o[42-19-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; + read_data2_o['h1b:'h18]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}]; + read_data2_o[`akjsdnnaskjdnreg+`asdasdhkjasdsareg:(`akjsdnnaskjdnreg+`asdasdhkjasdsareg)-`cdyfguvhbjnmkreg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][11:8]; + read_data2_o[`akjsdnnaskjdnreg-`asdasdhkjasdsareg-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][3:0]; + read_data2_o[(`akjsdnnaskjdnreg<<(`asdasdhkjasdsareg-`cdyfguvhbjnmkreg)) + (`asdasdhkjasdsareg-`cdyfguvhbjnmkreg):12 ]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:12]; + end + default: read_data2_o = 'hBA & 'h45; + endcase +end - GND GND - (.G(\ )); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "0" *) - (* ram_slice_end = "5" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r1_0_31_0_5 - (.ADDRA(A1), - .ADDRB(A1), - .ADDRC(A1), - .ADDRD(A3), - .DIA(WD3[1:0]), - .DIB(WD3[3:2]), - .DIC(WD3[5:4]), - .DID({\ ,\ }), - .DOA(RD11[1:0]), - .DOB(RD11[3:2]), - .DOC(RD11[5:4]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "12" *) - (* ram_slice_end = "17" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r1_0_31_12_17 - (.ADDRA(A1), - .ADDRB(A1), - .ADDRC(A1), - .ADDRD(A3), - .DIA(WD3[13:12]), - .DIB(WD3[15:14]), - .DIC(WD3[17:16]), - .DID({\ ,\ }), - .DOA(RD11[13:12]), - .DOB(RD11[15:14]), - .DOC(RD11[17:16]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "18" *) - (* ram_slice_end = "23" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r1_0_31_18_23 - (.ADDRA(A1), - .ADDRB(A1), - .ADDRC(A1), - .ADDRD(A3), - .DIA(WD3[19:18]), - .DIB(WD3[21:20]), - .DIC(WD3[23:22]), - .DID({\ ,\ }), - .DOA(RD11[19:18]), - .DOB(RD11[21:20]), - .DOC(RD11[23:22]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "24" *) - (* ram_slice_end = "29" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r1_0_31_24_29 - (.ADDRA(A1), - .ADDRB(A1), - .ADDRC(A1), - .ADDRD(A3), - .DIA(WD3[25:24]), - .DIB(WD3[27:26]), - .DIC(WD3[29:28]), - .DID({\ ,\ }), - .DOA(RD11[25:24]), - .DOB(RD11[27:26]), - .DOC(RD11[29:28]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "30" *) - (* ram_slice_end = "31" *) - RAM32X1D #( - .INIT(32'h00000000)) - RAM_reg_r1_0_31_30_31 - (.A0(A3[0]), - .A1(A3[1]), - .A2(A3[2]), - .A3(A3[3]), - .A4(A3[4]), - .D(WD3[30]), - .DPO(RD11[30]), - .DPRA0(A1[0]), - .DPRA1(A1[1]), - .DPRA2(A1[2]), - .DPRA3(A1[3]), - .DPRA4(A1[4]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "30" *) - (* ram_slice_end = "31" *) - RAM32X1D #( - .INIT(32'h00000000)) - RAM_reg_r1_0_31_30_31__0 - (.A0(A3[0]), - .A1(A3[1]), - .A2(A3[2]), - .A3(A3[3]), - .A4(A3[4]), - .D(WD3[31]), - .DPO(RD11[31]), - .DPRA0(A1[0]), - .DPRA1(A1[1]), - .DPRA2(A1[2]), - .DPRA3(A1[3]), - .DPRA4(A1[4]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "6" *) - (* ram_slice_end = "11" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r1_0_31_6_11 - (.ADDRA(A1), - .ADDRB(A1), - .ADDRC(A1), - .ADDRD(A3), - .DIA(WD3[7:6]), - .DIB(WD3[9:8]), - .DIC(WD3[11:10]), - .DID({\ ,\ }), - .DOA(RD11[7:6]), - .DOB(RD11[9:8]), - .DOC(RD11[11:10]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "0" *) - (* ram_slice_end = "5" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r2_0_31_0_5 - (.ADDRA(A2), - .ADDRB(A2), - .ADDRC(A2), - .ADDRD(A3), - .DIA(WD3[1:0]), - .DIB(WD3[3:2]), - .DIC(WD3[5:4]), - .DID({\ ,\ }), - .DOA(RD21[1:0]), - .DOB(RD21[3:2]), - .DOC(RD21[5:4]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "12" *) - (* ram_slice_end = "17" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r2_0_31_12_17 - (.ADDRA(A2), - .ADDRB(A2), - .ADDRC(A2), - .ADDRD(A3), - .DIA(WD3[13:12]), - .DIB(WD3[15:14]), - .DIC(WD3[17:16]), - .DID({\ ,\ }), - .DOA(RD21[13:12]), - .DOB(RD21[15:14]), - .DOC(RD21[17:16]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "18" *) - (* ram_slice_end = "23" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r2_0_31_18_23 - (.ADDRA(A2), - .ADDRB(A2), - .ADDRC(A2), - .ADDRD(A3), - .DIA(WD3[19:18]), - .DIB(WD3[21:20]), - .DIC(WD3[23:22]), - .DID({\ ,\ }), - .DOA(RD21[19:18]), - .DOB(RD21[21:20]), - .DOC(RD21[23:22]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "24" *) - (* ram_slice_end = "29" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r2_0_31_24_29 - (.ADDRA(A2), - .ADDRB(A2), - .ADDRC(A2), - .ADDRD(A3), - .DIA(WD3[25:24]), - .DIB(WD3[27:26]), - .DIC(WD3[29:28]), - .DID({\ ,\ }), - .DOA(RD21[25:24]), - .DOB(RD21[27:26]), - .DOC(RD21[29:28]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "30" *) - (* ram_slice_end = "31" *) - RAM32X1D #( - .INIT(32'h00000000)) - RAM_reg_r2_0_31_30_31 - (.A0(A3[0]), - .A1(A3[1]), - .A2(A3[2]), - .A3(A3[3]), - .A4(A3[4]), - .D(WD3[30]), - .DPO(RD21[30]), - .DPRA0(A2[0]), - .DPRA1(A2[1]), - .DPRA2(A2[2]), - .DPRA3(A2[3]), - .DPRA4(A2[4]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "30" *) - (* ram_slice_end = "31" *) - RAM32X1D #( - .INIT(32'h00000000)) - RAM_reg_r2_0_31_30_31__0 - (.A0(A3[0]), - .A1(A3[1]), - .A2(A3[2]), - .A3(A3[3]), - .A4(A3[4]), - .D(WD3[31]), - .DPO(RD21[31]), - .DPRA0(A2[0]), - .DPRA1(A2[1]), - .DPRA2(A2[2]), - .DPRA3(A2[3]), - .DPRA4(A2[4]), - .WCLK(clk), - .WE(WE)); - (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "1024" *) - (* RTL_RAM_NAME = "RAM" *) - (* RTL_RAM_TYPE = "RAM_SDP" *) - (* ram_addr_begin = "0" *) - (* ram_addr_end = "31" *) - (* ram_offset = "0" *) - (* ram_slice_begin = "6" *) - (* ram_slice_end = "11" *) - RAM32M #( - .INIT_A(64'h0000000000000000), - .INIT_B(64'h0000000000000000), - .INIT_C(64'h0000000000000000), - .INIT_D(64'h0000000000000000)) - RAM_reg_r2_0_31_6_11 - (.ADDRA(A2), - .ADDRB(A2), - .ADDRC(A2), - .ADDRD(A3), - .DIA(WD3[7:6]), - .DIB(WD3[9:8]), - .DIC(WD3[11:10]), - .DID({\ ,\ }), - .DOA(RD21[7:6]), - .DOB(RD21[9:8]), - .DOC(RD21[11:10]), - .WCLK(clk), - .WE(WE)); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[0]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[0]), - .O(RD1[0])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[10]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[10]), - .O(RD1[10])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[11]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[11]), - .O(RD1[11])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[12]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[12]), - .O(RD1[12])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[13]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[13]), - .O(RD1[13])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[14]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[14]), - .O(RD1[14])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[15]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[15]), - .O(RD1[15])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[16]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[16]), - .O(RD1[16])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[17]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[17]), - .O(RD1[17])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[18]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[18]), - .O(RD1[18])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[19]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[19]), - .O(RD1[19])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[1]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[1]), - .O(RD1[1])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[20]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[20]), - .O(RD1[20])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[21]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[21]), - .O(RD1[21])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[22]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[22]), - .O(RD1[22])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[23]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[23]), - .O(RD1[23])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[24]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[24]), - .O(RD1[24])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[25]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[25]), - .O(RD1[25])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[26]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[26]), - .O(RD1[26])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[27]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[27]), - .O(RD1[27])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[28]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[28]), - .O(RD1[28])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[29]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[29]), - .O(RD1[29])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[2]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[2]), - .O(RD1[2])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[30]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[30]), - .O(RD1[30])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[31]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[31]), - .O(RD1[31])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[3]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[3]), - .O(RD1[3])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[4]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[4]), - .O(RD1[4])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[5]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[5]), - .O(RD1[5])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[6]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[6]), - .O(RD1[6])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[7]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[7]), - .O(RD1[7])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[8]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[8]), - .O(RD1[8])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD1[9]_INST_0 - (.I0(A1[2]), - .I1(A1[1]), - .I2(A1[4]), - .I3(A1[3]), - .I4(A1[0]), - .I5(RD11[9]), - .O(RD1[9])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[0]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[0]), - .O(RD2[0])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[10]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[10]), - .O(RD2[10])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[11]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[11]), - .O(RD2[11])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[12]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[12]), - .O(RD2[12])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[13]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[13]), - .O(RD2[13])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[14]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[14]), - .O(RD2[14])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[15]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[15]), - .O(RD2[15])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[16]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[16]), - .O(RD2[16])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[17]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[17]), - .O(RD2[17])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[18]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[18]), - .O(RD2[18])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[19]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[19]), - .O(RD2[19])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[1]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[1]), - .O(RD2[1])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[20]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[20]), - .O(RD2[20])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[21]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[21]), - .O(RD2[21])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[22]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[22]), - .O(RD2[22])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[23]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[23]), - .O(RD2[23])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[24]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[24]), - .O(RD2[24])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[25]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[25]), - .O(RD2[25])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[26]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[26]), - .O(RD2[26])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[27]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[27]), - .O(RD2[27])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[28]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[28]), - .O(RD2[28])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[29]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[29]), - .O(RD2[29])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[2]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[2]), - .O(RD2[2])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[30]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[30]), - .O(RD2[30])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[31]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[31]), - .O(RD2[31])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[3]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[3]), - .O(RD2[3])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[4]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[4]), - .O(RD2[4])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[5]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[5]), - .O(RD2[5])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[6]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[6]), - .O(RD2[6])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[7]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[7]), - .O(RD2[7])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[8]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[8]), - .O(RD2[8])); - LUT6 #( - .INIT(64'hFFFFFFFE00000000)) - \RD2[9]_INST_0 - (.I0(A2[2]), - .I1(A2[1]), - .I2(A2[4]), - .I3(A2[3]), - .I4(A2[0]), - .I5(RD21[9]), - .O(RD2[9])); endmodule From 9febccbd1fb6177110e0cdcb5058126eb38889fd Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 18 Sep 2023 21:59:25 +0300 Subject: [PATCH 20/35] =?UTF-8?q?=D0=9B=D0=A012.=20=D0=9E=D0=B1=D0=BD?= =?UTF-8?q?=D0=BE=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D1=81=D1=85=D0=B5?= =?UTF-8?q?=D0=BC=D1=8B?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .pic/Labs/lab_12_periph/fig_01.drawio.png | Bin 181128 -> 263779 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/.pic/Labs/lab_12_periph/fig_01.drawio.png b/.pic/Labs/lab_12_periph/fig_01.drawio.png index a3e120cf96332c1153509ac36214559551ee33ce..1f1f0d2929ea2acdee03aee31ed933d381347661 100644 GIT binary patch literal 263779 zcmeEP2S5`^_g6&4j=d}D*(IhDA{LrrN5zI) zc0h>|r9(@UFtjx)16RtKw%=O9pz0xI*P%+E@$NEjX^G|n8~V5Ae6FY5U)fw>+nmpL z@$=)j$y_`XE5r1U)=a=C}M6kQc`ZO*lV2c!Q4 zHq83K2VWN-=HBME+~!ui=C-`%=6vcW7hSQYf7(*Nn^V`#nCtMQuKeca+~yJ?JP2L| zOh!YwsX%=WBed-dqpY%H7)l|sk@Hk?-$v@+pe8$^Iz0qRhWn{(yD$M)kx)1)BdeCTm!PVPqqzqq$mskCPxJHkPAAqKoIRU;>IvzdPQ|aRkyTgND z{gn#2m()h?Emy!5U%4;5gf-xmH@?)IFQEUybr)}$2jX&9SUD-&Zw-vElmP`=N@tff@Zs2187F62N&OH}f9@DdRFZ zRLJLGPV^+$lg|*k3j+%tsohP7tNrsQ1DuXisyI&SX;D$KVmu!uE-Z2F#9nN z2slDU8eu9z+Eu>t0O%Qcl?;OHBm>fQXuU^7={H@epF-*z-nJoXoh&+K%eMnOto&%;rrHjHts?5WnKr=2#&%qC5_#W0e z=Zlr?l7V^3aFUAq_{^&PSkWODC;JijATcSzU`7za%Kyyb!+hwa$jNI9Y~XexgH?qq3fjNX|$&L@~XQ@cl~uFm9{%JZ+!y@2Tq`c_PUxj{r^dTEG{d?7#qrZ`OWTm~Ks69t;nh+a&Ad8NP~QiBd4P;*RE z@E%YCx-d~7BRL2Puu}NRQ7S`e0N;fDbwqi%b3HDJWJpjqWwtVEYgUv(HWgq2 zJd?giPJsT34fR)glB(zH5?*0SSUz3r{QDF`s4$m!vtfVkSF9|dxqc?Nc5s1L7h{Wi}{0e3_oYVDToeo#4P-5qL`ZD zcKU(YkmygOuINBOlC+P!+9d7kLwE{A43=h6QWk)l72f765wU{{K2o2N3OAH(p#epC z-{-tLH}ZRzRUres%K|am*=coHk}S1HPY2Vbd>x%g69R#~Qq5!#IcrE}3qr4riV zYgNK-lMPjj;Kq40LL~t+tYWX9iwClMq_@t$gK*>X*2y!wN zK|>LDaD?V!e8G{rAc6cJ<_=qwWq=rpy5AO2h3Zp3sX_+%h$40!9u}pb#6KCUE@d<+53AWN?2!(}A8neh0X}Kqg;cF4BdT zt+FHYq^svs>bXjgV@G&iyN`oyDW-4}}s#FEgB-rLfLCiNt42}#5H3rhK zEZB*QYU(B~PC(L7-L*!^&hauO60Z1nTCP$V>QeYqrc^~Auu#?1{z%0l8`(`eOfj{uRxM7+07|Z-KZ31p^xO-WMDr$W;VSh2=Up0L`;_m2Xn+Y z*}2!DjE<&oAWiyV#jbV6mL*c^Rb>~NL?nyW?1jhz zg!4>J1Hj!=OivU6kxm8p9VKC_N;FjT#1p6&jF=*VBFG8Xi;);r;OL2=`7G$kFH3A< z*YR>;#ppU-E+RoPh@KsAVLHn*$>Ik=;5!F_`0S>GavXA{DrEN{JUx^wO*(OD%X+Be3EpghxTvlk^E>#l|#I|DM+0Y*0^SPf?nlhBRRjPgelV8=)? zvE-Q#WJrZpt8AwqnPZ}&tvKu>W8&&$mxy05)XwK>I4Pjrj>=m=P?9;baU><>uM)tH z!=h+ADybd`-F%R7OgIkm9&cCBEn)IfO_1M+0nt)=VK8I}1gaiJ#8%@_D!J7dZ1M** zvI>0CVk5`$xv-08ZAjH3wq%(ajk?!DrEsW=2i4_bJp`25tp3Z!0uYL_%(PH}3~EJ& z;Fy4ztn?DxejtA!hFz1UWxd43%}s$HS?sD%C$FptOO+>zDM^Ie9}tvO;Peu#6lGyd zYDdE@CZb%SlSo775oSR|0uiKn6)-0kj#3kJg!J2S#oBE)o+!3gxDqu8T4VFeKVDVPxaI5)}!m-ut z*a7W_EZ%ISrsZ(6A-%p(@O4(+YYk81Pv_sEtRZ9}VE;3e6c8;mdRieBKk{wUKK?SZ>(fC*6$rntoD}gt(zFHZTRaf)V>5w4dKD z67V>XN}pMoqT!K+{C0tmR~S>H1Z;;q{ceOCKG)1aFoP;dntm#)UgOszPoYM_4-`7l z@2)%Y6tY4DxWgnKvoK_i3fKVyM)f9WvA~3cvZry~29SlU)D28<1WMzMcO**V?gyA8 z^qNbC`@|BuwZGEE+gm3^wt20?$XTYZZns&$Ww-%LIl8EeMiOGDvC*Qo(*h{}QpX&< zZZ?h+5SvZUhT!8|%akF^p#mD^gkVK7x)QBCnL$e)?I}-WH6hkAem#lBQmCLu6cziy zP@#Q=$`lG1#XKS3ocU?4dA5YAG#Jm}rzIF26w`5ypir}FM1a>2g@AKj28bui>YU0N z0BWe|P14c;SUjlkM#s-rZE)`9PgSxM$T~?ZhINN}OpOZ?PpIC720S4^J^eZBIxlpm zX6^L%%}*7gWuWDsBddNlBPwLdQSov!KSK6VCaOH z0f#FwGgtkc@e|+)=}=chc#|`QPLDOW7}<2rM_{unl%Rc)C+twyh#FZ{DK2=nDO*gX zst6!E;-^&=O6x&-2+gztFnk#<)`FTsQ!t_MrNFfS zy{2?e-`@=kp-Dh{CZPaFjx^kIKP{;AN%rhmd0xoA$Pgs<2Vk`2OBja@#yst-P3$-j zt`ovz77Bu6$Ln#n9f7n3A`nCqvu+~+LhRzlge1tSI+xLa)xrQ8UR?dg0JIxdF1n}z z-4S)Hqp?tfZ?1tkgo z#1Mq~38kAfc(H-&NO_jTw2=x1aHfrlKA=6pUi=(7(u;$+I4X{ngobEG>{8CY<6&Fs3UUCovM$I~4ax&#J|Wh?S=!&Z=Q0_?{^OClxnD z0mvRJ_qS+h`GV^P+ccWv#k~s4xVPD zD2u0y54p&?)PW7&=un(Oz|(9OHO13ZSxYfb=ZL5I67xc_)eJe3mQiL$UE9nax;p8z z3soviD37vqnBTLpaxxEpPzBmm-=Z>$va53WeluR09a*6b(o(_)xzP>`I=+YVWV8_i z(MiQi_NIXr@(4v{jCU2;ps<3bLVSpGPUG6N1%vGvR-&S+8Yhnf6x^&8OjMfElul^Z zMHj2We`*v(KS5tQ+4vSDfQI`HLOO4cqdPuAycA%1ohp*{Gi)1NgBHR%ib1Wa%tYAN*_7f6B zxaqfQOx*PYk69Q(i}*B4g5Z#F7+u`dDH|PtEgTAmpUjNy64hb%uEMX?n_)c;v$vpd zxW3KU{!p~fx@z9_D{M5QAFDRHa0Ih(g0lv4E2J)NLB-*$ERTjT!#Nmus|$&xj^k^@ zRHPq%~5bIWGjtvPRp^Aw*;9OyFITMDp*V1zu-_w|*!l2^vaJUpnU)1apgT&PV9&9OQbceuhrr7jhkbBVF9k6<8V z1r@iz1RW`-j*UW+EMUg=d$W||T<2A1RcNpO0%7_+SQ>~nw2cDgz7^vm3NX+>C9RfK z5|+zYj4S=K9kVdFZ^cYHErD}dC4Mupm9+$V0zkjlf>Ir?%CpJL$e~OEw2!FpARDG3 z)pmTeLJ?pjp|*8<79*MC;u{qsX^#2RjD&-MF5v@$4RaE99V3}#wE=$3pg&Z z3dZpKa|avQm9#$MCCKF^4nW2mY%+Pu*gvXhxS~b{*FsB&cJ|RPy;8_k*$#_=DNl0J)O> z&4c-0Z}h(fBw(-bSE8&y)tU06;dixpf)Jyp%DU{Ac`HIJA{Xc<8r6|U#kqLPJbYn~ z@_MLER+&8LU5F@NH|ig3hpXI2=E{T$G@|S@AnP`!E`YP?H61&`ti$R84nF!yO>SuP zOw+I2V3!Qii$>5yUSh2(M=$-<;!og{ zuiO`q-5NuamXuTii8N?jw{U~nzENtXYOitYRzf{3a8+>Fl2a4d8lopJFr)I@7G0R( zYM39|S0C9hgO7bHOuC~vvrYslVynM^l$Ym%|L}S*uE{uID`Ao5}T`4bp~n$ zXg_R`#TmZ2&YN7aaE33@P>~CRjfxvd5TsaWa7K;D^^G&Qi)w+!8STbQR^JqtvEVw55tcEPbA@;)Uf;18=Q>ulqsbSelD)45@(#k#Sct( zq8kcY5eLduYYRtTsXPDZNHt-Y(D_N$!d^uw^DT!TXM?sU>^Qw4uu7n!d| zZ>c+kCv5dqOvWZGIGY0wkW!s<$jwTV%Yw#mtw{b5SXK^jnk-;hee*VA#}p0gn}Oq${?x=RL31}am3yc z#l>L7z89ot`(5D*aYQCN0W2GHh1T6+MEE;Clt&w(d;QeW^Z2Y@BAO{$g~CwCs(4aM zcs2lbRwXfYjAvR3(_mc@2}Lqc%!teZG7nF^%1j=Y*&pmy6~U1$_{=myA44G?q=k@> zmalSB!gia}Gb8}6xML04GTmCfJg!jiy_Pj1GcgC(zY+9Q*xJ-!k1s)8`VgOmrA-ay z#zG`sq015t7%Jq$kvOcM<=OYA)2dQnyzE}!SIHKZFhWNc#x~X*a^~pD&SWocQd1-y zRuabhO$an0UzK%7>PVW&R(f?-;W{dUf1pbzTTPOVM`@&lS5w_{$s{bf%e=j@GgBjn zNuTZ{Q@#0NJQf+=^T`RRZS_NX7A1@pUWiN|zGg!mA@=xS)90r> zi?knIV53{phlk6{uMgZWBIfwZS`-3ub0!W0O6W7arWszC0XLQJ!I&4TM}ikAtfvFrAA93<2SBf7(-~#~Mv& zS3z+cfp^#p@>A1Nak7)Q%vWlPbuFq86O}a3HJbPyc!FAqqbjQi7DyGDQeDK?@|-Dx zAH;ex%8yc?k$NIYH~dYIV(Nd%ezw`)3hRak`=O$b%bk8)iAlCPMp)q|m-#CFQ5aWb z-CTs4A-M!7<#c^4)f-uz<+JxzknuM3^4OWd1_|AUOe7Kko29TuL+blkcoOhwN|^KX zDmQqzrib7mc>qd!f~|r7M}c-VR^sQ~;M0QcK<+z(0a69bjLr;ToH*JL1NezNF)RaG z2@IGbjG)Bs;*)eS!l1?DF}6fL?Jlzv#O|^-)Zf#^4`o8i6~Ko-$c9_2DQs=qen zL2w0|Q@Z6Y9uGIaRIR>*5m)5uGx`^~`uXam11A7On=ak~Xoaay8tlYYeh8laJ64w|x!F;loE0uB|xQ0q1G*oR> zpA?#fbgc|vy;?;h*ketIj;tK(P|ZO}DNIUwR$&-gXK&6Su=0NR{#eIZ?1;jpQ(N!FG^Ml z8e#V!eG8WvApJ{CTT?3JUQ#v3C(1QpYiV=_3S2LmC8?@sOq{rHf~MdnaVk@-)< zm7{71T{!eUcpKVUz|hY#V|L`1QTs57Wg#Z$02`72hNFh)4>@V@T3iqgCiwT;_G0rT zXL)rzF-p+LA=X@hPaq4R{O|79KEXoy#T= zYReOk>0YO1yF{c>VZ;|{H_eVUfNFt$9r?geZ5Hw&@7edo{T#F`AoylxStVW{76?bz$3!>wA=?}U& z@FhZyNR&xi_&iyHxC&cm2Oe(AM39<3N|*{uYX=o?79!EhYK+J^${Q=1 z-5n_1#*A2Ociw)wBM>)dx>f=F9oLu__`A)aPs|4#=8F$(I|L&<8v z#KUzkxr0Ph$E0RfLNvMF%pS)mcLzC{!gnJXLxgUNr%(Nc?5IB+x@c(>lgc zOjXHzZY%MrN~Ypkd{?E*XzKh=1Ycuh9v;*O`h-q)^h_hN%QSn!$NWlAxi)?B??Aa9 z(-4Z;Iot$g)fq-L1Cbu%E zK!`}BW5Is~s45H}>Y_$tXo`g6ytpb1El9prg_%Lg7ui&U31$(bRp@HMun|ZQT5(O7 z!b6JACI^(@Vb0V>tU*sf1kIW-d?+>6%JS@7&~3g&cGLfLto-77uq<+_sg7qTy%>yZ zY<~av2$ifl1ZIXYk*$wxOWY(7^;tuoi4?LuL`NJxT?#77QOMLr?+sCKcC?$Xpqxq=w@X;4fd?lsu7`BP4n$;L;`n zY6>Kg;r7e~krk(!tLK?R&SDNz&Ed47!jfq&%-52k0+DHswShup_h_DE%jfbqd@f%s z5i>s-PY||)3PlbR-UkALiW8^750u4!+2IgAfPsk{^CUbDbmz_d$951Fyu4{4JGP<9 zn?@1d;!_tGe+>^e?qqfwH})h{4eSpgBUkyGH!WmWN6>m2;gu_ddDGZZBi0^A2+6g_ z*Dx;YH)<$kS0u33{+Gy_#zdwKiB9Y&&X6W|6kpSm!!wJlX)`zsQj!VrJk55*dG>++ zIB6O;fX8HAIMfX$CyY=xzQ+v(FlD+(k-1Q;cQV(^m=V3;6V%E&U6_o<_Db&UwUgRR^M6Gglf{*5M(48sl(XY|qsh=mTU0@=`lBs!f}l^iq3~>I znqF?k%Yt*ce|bX3?juZe+9z}g_L5-tBv*sCewxnpB5XAIl#kmu{xZTwlvPoVMs8*< z97H){MW*#<5fwH#Jj`%}DR$B6jtN=KHdWm`@t8l&g?g1XObU;dq)o0!^(VUIW+Q26 zjKz|MQT2W~Nh84>T`=zJUest(Ij*qHGmYy<(%>UF@PdgMYX5a44LiuEW3xupK(uH| z8dkSVv4%5K2kIVfN`i%(ssm`Axlpf?CI=BqLMi%DoFE61CX-ZkBx$gl^4F6z*hSBf zoP>cHOmMmR~h<%7B16MmK8DQ$Xa2b_1BXj zn4TB{6YE}vh#0QPBx!mF@=VeCks=z?=V7Vytsu;um0J zmkgpa8$f1WK?glXxMMcaZ#EsKdNmIe(|ri)b3CA|*4o}z+Fh=M1m3Q`u5vf20@v*T zXQ;XyvFzT=qCSMuS(W_}jZDd!KOx*s*7mWq6*@}{?$W3XR9!D3)qp&XnDMn?q7!F9 zbgEN$Nvbo`Fq`#EbL?oa%$G$#O6hn+d<2!KQ$iLcsT%cw3Ymu|dIV}gAaix`w(^#F z_=4KtBXe^@-K4UlN_Dy=36Y+muKOAAv)EP<<2WO*1m{?gyNVAR$R8xZ>5~MKP#Nzq zceSnmy&Vy9)h_a`$>!L>whmRNQhGzltO#LtP_tnLm>RL9p z!lK{@|B&)?UN*0g!XhvY@sK?AlgoUS{wU-kvPQKeP=X(zl+z1kixOROij^e5p*JE7 zDT&0!rdu~^xzU^G82I3%0XBz-*$rE;qJ=38HT}>$phUmo44*DS03S=3<-)Z}rG*TI zt)?xbIVl?m~M(`?9H#Mksxguv%9QWa}Yte)xG zcl+@8m{?SU;#@y0vP*}$sSi({8t264RZk|dR=gu zCZV#}`=>cgpB`2-UBvB*i4iF@32|@=X$Ql$*2Bx)CJZRydLhS~eXx+F-QXwDLWMC>3;Y1fq5XDpoLV{|R zVb zr_SF7C1&gp3&U7MhmyQUSPJEaYG_}4I49ejv9b#Eo{AZTO^xA^Awz6wF8qvasiV%$ zRDV}9GaDPqo9v=cLJh2Z97sT>I@2FeQrS%gl96^*(%R&&@68ZvMqSOY2TX^G6CWR~ zhha#B-5Yq&pO#sA$*zH3f{gu$UqH2Lzl`5doK>Xk=lQd!@Dqeag*XQW6NeB3GNx`F zsDV5YN-d<$l~4^UVVv7|*q>%9eYyy7(gz_IaJerb8S*adwUAChFSo8Ei2||a#)@!} zD#Jyd78s>bDsS>qNC z0AYLNi!^|!&YD*3EI*T?3O0tY>jUzlen^?JPacQMMd!Gn&yL_L;Bq7a0rNTQk{)y? zIyKivs)S^7vdm}5kK=_jv%-SGvS3$YB){|1|w*ny07# zG<@mBBOw-R3VQ4_&7DI6PnOkRR&xM8PG;27^P$XDeFt|zI&NC7P6e;l9Puc)H=j8%~&97%%27^y?TJy(Vo0WZXJ>m zp#b4@U()}Aujz~8aHA?rahl1vI?()ixIGyj6VCxtd7+>#Ctg*)U-*!#J- z%9LnnfPZndp2kH)b{d!5T>L$yC<_q*Vo`xO$Q@vU6quBtj7rxS#~xIOPaMExSvWwB z9p5Q1)Le83Skql66zhS2@exXxYlNCrSA~OswHw*eDjXMG0>-(B7z?$pWb*hwWSYW3 zzwG!J&bKZaY1(;EcWD07ak`MIpQ{Qd@!6e(qKYgk6mL;eRP(iojyXG8SMW2u3qCDq zYMNqh;YbcQ&#D>(Q7mD{vaJ?1d*TNsjD{(~7NkW-8fw}jLW~%?6o4h#e zV49C(GKGsUbrGZaAz`X121N%wH7Q0jJf{mYS7S^K$57z_Pj)1QFJk;Q1b+TR9EFJ$ z;!21n@nID@3!h}UYeg5LG=-WT zAd0UEi-iNEv>OR2G8D(^9K_Q@$1H(J(-EUNXyKqaT?8*QY};a04W;^<>V+zC3Kw=2 zvj#03RLKrW6eqqYpzkhIHG-i1`>Eu};{kaaHbj;>pNn$S;Hx|V&Rfo;5(!7d_`L|- ztso}9NZ3&YTF?<{JmE&x%0cZ+kCDuD_M(;Cg0*@~Eh0W+@nbiIE;KsLK~>#2@SueQ z$bKs5k!zK1X6M3b0=xMvn7CVfz_2z#ONpoN2i+2N34QLHslEMTM= zhE`q{DX79MBKv$Mc^{LKUK3FHxG^i~LFvkG4kZ`(oOMDN=iR5~3W^UZv(3vfIkb+T zTbCGabEDc!2@fjf=|i8WpX`bYd?XF&9mDzZ`Lwq=@aFq_y7<9)C$_Eu3hy9mg^R0~ z6g6-B!4X=SS;t6ya`%?`b!YN~yNQ?rON4HKq(K@I^36l~%Y^?^4ACY#q+_ak4 zBVPVDHLiultvYUHrSqr%{-^LS1I}2nwSjM)^@bNlw=i&b`Qv=4d#etwOx<1g!f$`g ztz$bY?9>CZZYTb-oojWiThsaHb{Y;VUA616DFNl`>-JD`QKO{|siv&0Aw#Mw7CqSp>&%{_edxD5dVWS#S3Q&=d%DD~5pUe8>YR-J z8`>Ed8Jfb^_O*J~L}M&t|B?+k)d!xM^jEvPe}8@*m;7Y&nikG|1ERD)V3&VBEVK|jJTyBbEpl)`5@x-ZbYZ>XVB=+c7?;D^}bZJpqDzz!ZRE9y4W zWM)`}5vAbA>dqY&S&k`f6zX8HzhXrVehvO?kOl*uD;||t=EA?iCOZt;@3?K6dT)OR z@!{H2uQYn_oH{YQ)8?gTdYo?f`N)?}?{0~XbRA~a z;p5lIac@HBUpV%}$iV2|VblC130G}WHSuLNUB=!HSoi?2@Sccz9cF7lnWaz3BVM2G?;6uGHac?0=ci9T zJPNh^&9a2?8jP*0$Gj#HtR6w>w}M` zXS{vVtnHAU%l`bc-jL^&>+)?+g*0qivu4em=RO@tltq93*Qx34&XNZ8oE9DFH~G@K zy_MJ97IqpRd&ua|FY(oqtDae1B1!Q+CA?}gb-dVMX<7Pwy2Agr*=-!QMa0FeFkL$3 zOM1;~_YVi{-6y}_`BGvzzH_5a6ZZ8E<9A)b8D1~W&#|%3<$b|tUOCvleeuZQ_S}I= z^GR;A%P;9-7IL*;s_@xEp?R%lm!x)5Be!nwmI8+hmKtw)#boz?GcIm?6FYcb=UNXF z=7`Vi3l043e?u!CaTqmE#k1r)0Ix+PA_UX=ECO-FNkx%Oi+zf!Fci#u=@z>@>@fz#l)@M{gRFy}wpS(Obzsr->sY99c#I69((wtYuhRzJ=biGJiVoqYsQ{BH{RBg$ zIqB%TiY?pDod~=YJOfBR(oj>Gs@7sHyrA#jiTfkZ{npy~!0Hp;cV|VOcxvsiIZje8 zv_k3o=_@NX9DkbUoKQ0Au2tC!3BxZuZIrR3ZbI3w|5?V)oZMvX-$wsh0tJ*=YFS&8 zhvyDhTO%pzsw?j;$K{BB;)GLCtNa{qJbW~C!EbZo`tPiN3yH=wG_i7;6XUlSRVDOY zRpSneE6ukld+Pn1q$h6{yy)U(!kG;O%-?7tg_FpzPIxLo+tFd?RmwugU_qi6dy>iIAJ_+2Ru_?bf5B_k=#%1E; zXF}(}u)8Y)8mB!xF#F-BbZ5^?3I1!|z3@BYbn9ygt9KKVcX7PxPZ`JAUiMq3m|<=o zTU%Xd`VI};@zNrZI+L4Bvm9M|#IS=-EzaL>Gqus(6W8m6&yH*3eLc0R(;`p5>qAe; z2RHdNw`#NV#?DULN;nR0V;f(av;9W;yJsz}8qMD$3q7;lsq4;cFY8#V>3=?a;o3_1kZ*HRaU!e;W)-+4;WX(@z|3Y>cGs zr29!z(sw=UUf*8fmrtjXHyz%;>#N7|dSH9B0g{}cMv_Aer1yuvcsj+mTZ!O0{sng%Tqepy$x*e3BFI=XN zIX(W82j>kp_Qc-FYyNw4+3DikG4Ew%gWrO&w|Qzv-6n(v8eDG6-H~>aUp|OW{R2>C8Ca!IeKW?dLqr zFDAO`IMQA`)&w;0?ZcOj1Y40~+-mEz8*@Hw?R)z~@*kCFo@!X`W4X|vmG@mnAD7z2 zEnH>n*UmCJZRPLvNf9~CFHAJea+2Xuv!I0w{*HLWTInM-hDg^ZFBE0mj zgh9Ktfkq!_6~Q1<^l{tgnQ``qn=2+*ED154{&L8bF~2$Yy|v)-{b*RC)01aEZcHo@ zuiD4s=C#(Z9^0;rMX0N=wX!rU(9F0wm!ph16Nfh4YHA%Ferv0ARP$;k&YY?pFEq`> zlf}01c8#SQ;>u3B7#7zf+$Md&v}$uZICBmNhIV@En|yA0O3=Sw(%;4P`J=OZXe`(5 zjByx<=6^o4v|sJL+9|SqK*)cOFRx#;Qh9g9g#Mj+%Wv*>*&hg^{Bo7Mdq21$@ek1W zCVBTbmyE=i^V_<>`kWtQX)+Y>VN|EBl``=mcwmJFAFVfh{SaCqNwIBn*gsNBlbuOX z5mR10_-BBlqwJ`6l@-^$5-ts!b*97P{R!(0M%AoUd)o43H@a$YbUE9GoYeZUBJ+g5=LJmr z+dGl(Uv_V0pXaed-aX+uK5x?*plyTAoXYNk31#=ZJM6K)*|6aghU~jnwbQs4cbWxq zp7G!B9{SFHc)GKDLypsoXS-8Z`bp}z1aitg>~3o0S@O6v!GPE&BXcm!0(+la2+A;e zw|Ac9o;jZBV92SFQftD<#`1Lro&j5%ank}Gd2PP*=*ib7evY#eKq*{uUX-NZo}U@_ zkNJQKNQh>x8vN#Gy8D~uLgB}Mwh#E$YRTkpXT#!lmTW!EvbW)1l|$z@tiNs?$LZqG z5ZCC)6K)MTb0)_}1;iM0%Dy>k6Ww4zv;ANkp0B3{Q#W9Uoo8E3vus!Tk6N5(uDlwX zDzAOF(=A}xDS*o1se9_QF&rG)xx)k5@bve_-U@6LaRmQ9Z-v!R3mud0c2$XVOy$7PbDDnxeh z1(|ee$uMIRXZK1Z5>E39zWDxn_lJ#-wgCcp0t6BX4g^2G?<_C`}|b|2%b4Gt#arhvp~+&bMeXE?aOpq1Kg4Ps83^Jrdh2etP!uKxT&!h z+&$FZ{$6}lPFaCRww&c_GRBt|hhxluB6uJZ(3|ih^;HqIZ^lU&kVJRg{0?{`xRT zw%aTSjc^*g>Jt8xj`{HcECr-Q$VtzH$E`kn^o@58tkk`v;b@@c221DpU9JRv z;GqF`dS*+OD%1g@l9&br0-URsBMVwY+QzUu@$u3del;vj++i(vtBlLuZQ>j_?a_l; z$E9Vv-04{H-!R2X#zdLs_F||9=0-O~z z8$R}vtcY0e5CHs`;bby1?(64P3#Sc71QbjX5E4jt{`YvjTk60@k5lV}09J%eyH~pY zUf;_N%NnGajhi;`v?={<7Oi#!Jz(Od^(Kg{EGr^DDw_euK4XSakQ>#4_G~fnp+AsI$2Ug>ZrSXdiJb2Xx zyrF|n#V1z*lw7_QpE8qYm8=hU7$r|X>_-Qenm)swhkg3wY{8>^w&2A_e2YPNpUx&_G9>GoIM76eyX z!L_pMx;LbzvJt6D%R4^bGzdo8A&846r(*SBhJEGkpXvm1=2-SxPTgM>zHB$Gcl9v% zUi>wsU?{OTpUXY=`!w|7&;?^cPQIGcLq^X8Rt>kN;OF=uXUOIzT=J@`#jsHnWU&t~YL2pIxw=6H-?!A-vGW(Z?#o)E_QzW zZ-2Yx>U!h945THyAf`}Hu-x?*gq8x9=6%c&)E)~&z35@W2 zi>2!bxng|a*!x8v;zFmKk5Aqmv9&RJhDihXf}itN(ckct#E6OALNfVgnio=8JsQma z&pza&-*Y=^%-}2VvY`oU60Wuc(RoxNwWXGT{xP!!5Mn*knv{IEMGpFs{620yVP_q# zUKmAEWy@Fg@Umt=KO@JC7u?@ae2OUw?b2xSX<#KSpN1tAaXrE4X6!awS zH>e7tqCU*&gQQk7V}~|YIUxTGiw`|+uQ}ReW?Zn}fN~VK{|n1lb;ecar|=FAT~1as z&fKWr2ZqY%Nt5QMzrHuT?f)>k`?GS$QDk+`EEXYbk5 zGs(^JCR}r=;LwFy$nR6U6TE1LI;ThPlV7(q`!>65dXU?OI@I^(!3ez!?e}i239s<{ z8lRgvBg5%1&C2(d)uUeauj-(B#Q(V>3I%r-2DvYz7mMN-!=}4GotS)OY+C&*|9}}Y zFCs2@C9}awnB-;0s{_nnoV~n@3#w(la#}3cJLm5$@pOm)*_#uf!m*FmcjmR+O+B)k`U}c*KN2+W>WvE&bu)&j ztK!=KzzGaYyze6Sp-tunm{`KK61=VD(fmvl@Zro^88;a%`qFp;fk7-eE6TtKrFs9%;n$ zqe1)ymOJ&Fy0i!2KIFRcQdkW@<;|n2)g{fn{)n%e_pzYvbb%Y@tm_%!2qs|s>oh?i zK>*I>BSCF?JO-rn#>49lXG{%RyQWuXUpusHt@glT&TBa>#Q_1^q1IAMVlFe`T7%W5 zA_~}MwucW#d8Tf?rj6yjDTi|b{3K3qE_f2W^{V-+7a3;Ggc7@!R*v7`;f);)m=ocR;#_1y|f)wAUa7ZQPHAG-|*bQc)2Z^xZWA~QbR za}ioa(65E%g2zCZ)cWcdcN3F(1?ZI4bs?X+b1X8D;(A|Nv=42wv_;*r#KKfHip)qI z@#L$#?Z#Dm{DVv_LYonjVy1&<6l|lx2gK_g|zS&0cC9 zS`DJW;g6rJe?#1A3yj)ehj<6|QikY5WXt^k4jM-LX#+1{mk9tq%5MXjIlh@Xe(f4p zb6;9rngt8fZc}jI4Jc04b?MoDDmXMWHgbY%-Y!omYBK_4m-HU~H2yF6MlFvB9$^wc z=s)Vhfg@MmOx>}p5%9}(pqnk-c@*6YK%mldb>LNy1>p%{QfFfRGm*SCXfud{4O=9M z>xwE#ZDE{d)h}>~6*saT^)6#gk6mNsJujLqe6-`+g|IlQl>?}yw$u{7!RUuui|DB! z-iZ*IN^M{&_1~OtMNH+D>F%TH{y~SvY`$YQas57@M9Z(~dq-RW=6pF}D-C9#k6o$7 z+1_Q<$ou>3M%@0q^^?czV~s9++AG<1($-=V=<5~Kto7f^pa1B!|IX)2>CIkvU5{Sf z`{<$dcdo@A_6+i+MUzQo16lb{__|wLTN6ty zqo~8uq-k)nQ`dOU+WlRdn7z4ms?+O#>sxpoTsy_6!LcH+YyTUtZ4sXzc;d2clREBJi=*>x}E_RClVh{D6OU)P^WZU#Y>_?$~dt**CA`T0w7@ zS8;o_b%)z)QNzh!5_?C7-+@W3W>0GEv2&(tdan6)r00Z#7Q?okiU>be^^%n0vUU|V zm^u&k-`eQVc59b+B{~O$&ssCzFLBWbv$$#;5C400MZ^SGgV67^r@s*;XIsF&1=t&c z6QtId>4&VM!`GhuYdgWC%OEx%b4_5GL0y{yUo9875c;qi4b3R`r8i{11gzWy6uenxR<{B%qb49T=2Yzcjv8YfNR#TE zIfst%hf0zZWm-lwA(3X#gM}B?oZI@%V|n=KH0LQ15pg5xwx*PWeoeutdOW$B>5*$@ zy|PeXG#DIab9YQ!w_adGtn}zMk03u2gI)D4LhV6qTytyPp2P3u;V})2+AOEu6`>S- zGjViWcbl1Ub;CSolixIk#k6Y0;L=uVt$OW$f8`b@Nioc0#aMzwjlspFFxoPZ`nGWx zU_z3@ZN6!#HkJ`W#w;_xKn<@QHHSl^lr=2tar9YD_pL?_H9NfBr3Ff%S}rF$82 zHe8quG+Z!ZP zQbUWIJP6)YfF$$FYql%C{V#6B_H_+tf@ux{w}mt3?D1Y>*8_B{cAiZna9ASWnAQ9N zlmTYB3_i?+81un)`?|8yoD6^4J?TUv$(wIqZQ{9soNqu$CASW!ga)x3NPlt1{>Xhc z(c#O(+{3Arpnn)5sa2vWP5aAwgX_jG#%+H4jg_i%HY-Qhtwm3hy4S_%^WB>^8zeMIV>1MXfYi@Y)%}#o(AyV)ZV#9)o{34 z>3H(B-pxxYN3o0DJDO7=;5d-izdCh@on)g&;~w(R?xBT015}zA}KmE zZllxWC*EOxl886wwvdWIR}h3X2Dj;o1l(NE6yTP>Hm(SBHwqO9u2-yq-iJ}-zZp!O z_@VmN=r^XH#)P(rN*f6Z>(u^Zs6WpgKz;MvyA`tzfGxs}TTnIgo59oIElW+`P>%w% zKR}xT1awQ<7~TJPat(Wq4QVNOf|)-=>C>jy>G$OiO?XQG$z&k4p#5qOEz`E8bNqu1 z0=LX*86Ga*P5_EDn0HpuX@eHiUZstoumde6r}uKb4dN?&&?!<3b%!Ns^3MQMiX?uc z)@Mn(eHBFzGFx}neG5SnA8QUxk8i0+kl*`b3aFIr{oBnR+4md;Tee^)0E_>EQfAp5{E_czm+)#;x@zI;}`8D>q@#if6xNVV`_{_uT$b+P4oYAQ^Y&L&|mu z9!l-r>CsI#ZK?iUtJ(OlWkA4w$g}L0uyc4@i@-GQ)Vl|KR_z;ez311jA7bNn4*z>Y z(3_Ok?{CZFf=iV>|1G}L2WQ9KqpV&v++rlS(`889ggpajtcge`;Sy z@ccVG@RsaK^jKHf)lCccdEMv>iAPOMhwbCeNP#S!o<}CUJOAzJja}_UBGEmIs#pI& zbKnjCbKdh>Ns7^%LqZ5yWqbrM{%y)WwBhDeSBmAUJAVE6@O6TdJS_M>NbI@5jgj9! z>OME^eVevzD?mbs#fERMLt~?TI=}pqx@PLpy1!?{d{5j06Rd%F@!^k4SBJ!nrBX93 z%?)C|zS|`|x2*M**r`)v(w}ZmUUmQTrkGNRFKgOHM@~<6@{Ktu9}?24i_b0L$dUpi zch*+;lBhQah9bQti~fQTY_`ceuN#YY;$cC^%@@w6yQhPwrtn*KXb!I}P05EtUjy_FJ*5 z7bnK{=(Plw0GwyGhWn_t#Wd z)_Bq@tDq+vLmvf~ZNxp1vZR?^inYhHiT6Lnk2~M+*`ao5U$#_Ob8a|jMjAQpiLh?* zFYX9lzpb#KahDM-dapFj2(H|~yk9MjbDfMSW$yk1nS=eS>|51k>QSLrLc7OLy{^~p z79BaR<+F(M6Uy!#<6QdOm~l}ZHapHrP55vv1azk34)O8Hm%23cTw57-UC?I-vv*E%TV41tivfl9j0r)?(kS=Y}=MPh-11pmh14GM)t}^o( zW41Z4&$C`r(;n@wZr`#0p>Z!c6E;T$q`v-e^h2lq9+PhGn)LUdi80O}BCB)k-Z@%X ze1Zo#)+T_{go?VBf~ac+ChFQ9>0TW@dd!L*bK~`2UFSD_zP5bmxR>{Q4s7k55c>7z zS+7N%-mgTwy8pOzP-uWSs`9d_@3xOT8zqf9{~;}v=ijbd(vz0XA#WXKobm?HZl{*H z=GShw=LO%o!m;~}ITkHI0j}2UK}+XG9mnqu8u0=WB9cF)t_j_?txcH4xSbX2o1Opf z9QBJoyhS|Ux9I*HYkJ^YV^Ci;mC@hS-+=#iLI3h$CK;(Mq6=?yd6-t z^Q35pzbs!=;5)y+-6Y<5r_*A;#FypQA8ri0-(|uwO3X9bg{wh@AyeC>_8Hb}#spz# z>2Yt*+hZyL>CS8-0|hGXtI+~{BXY3Jj~pWE&nw0&@t%ZW0w#3<>8 zUi`jEQ2`GCsCGC{{qk0;#u9*^G`>afS+S?a{(kG#GfB1=tm6u86te24zIqD z+}`zOOxnn0^^E5(vfSh6IOpuAK$FzlF_Ctg#))g!m(@C+{N`epk+s?l?YH26b3gp8 zeA4vg(Tttj`Brxt*hU}rxosAcyuxYvg{-=N3^r}E?`U7S=S%#^lbZZ zmv?Gn*z`o?w~BWb3?ODPsX{w00PcMXaK+7QniyLIk4}oZwK3Ab{b17T6{b!o-K6X3 zRkQpOdyQK4C?amml|jcoAFt59M#R*XVrRd9N*|prym56E@Z@efe*7 z`vuB>g329l_I&N>Q%EFr;xzodW)|!m9DDfP9%pXQLKW%vYv(+;RDTc7_Dj(tW2eLj z{uuNs#`E%Dhdnq|>YMd`Tk6Zep^i5|J0F?qbU%HC^Q8YyDo#`q+a6j0F)&0c9-NH- zt>0}Agt=x~4FZKtdI94({^@h-PfbKt~ zg8WH_9KS#2G@fF9rFqx^zfTV@cCEFucF;@LCGSmb%LLYvC2$8^xl|9r>kC)>-Vk&b z3(No2BDTiZyw$7epm9$)&%pK)*22d!=V%ph1;&b(acL+(A$OwJvjRpH^Knl~oD zs&}(;J>i*2#-sM!y}#39pk2vblPg74vAVu-dUDHq)e`r<^jp}c-rR~WmWND(`E6Nl z-Dw0s){!m!%MiNo0e(6*uwC5<r|rHK*UG0it&F zCTmo1Xn8Y9(XWhS#n9zHF2(-u>6+s+!@Ebb{%OkIph68n;}orX52byG3MHqn;!%t%YJ!Lrt`PYZ<6P= zT)jBu!m2r)zpfAI(6jUXZ(Spv*9hvi-toYT)8;9=jt)KI`)rb8;hDh?Bc&PF*KIr_ zy=%R>;t{ZhF3po=Dae=%tKSAZ*tE*`k2#Gdv^p@k%e!k17v8LJ+CQe|?am3;d9g`R z+cNI>UtGTC+vijTNa)#PjBQ7LQThSAT-i0U%ho+Ut_Qlb8nEA`(*P-;+?At~Gwyi# zr<`BX>uBeJ*Dlw2F9@A8$#KKhvF{aE+8dBL4%fmy0y58jT zYqQ>&^kguuk-7-9&e#fi8yZyeynSFti~M{eItpZM<3p|mQ~A!U09toe`| zn{Qu^Jvck=(DRHH^Y2XPwsv6iT?_nT9yu(2QW=ySPD7f>8ug90ql5;=%R5893H>d?#k_;gA2D ze`Tw~=60216IPxu3JqxXCiPXP z!%Mz$^oU4q>zrWc@i^k*%(&<0``x_Q6->DHtEA;Z_ex$apzJb+sqGEQxLvt$zvJazgIwr-W9RU_!nEnGmD=n!o&5@CXU&_WIG; zr5EN@-{#5*508mFwK33Y+>&FHnO$_+y>`!< zgWu$+K^-dM%VjLTH5`Fd{~_ zo*9?jydlUI3Ta?#+*6|}NPN4RtTI3Z+40q}QdbVj?|U2E>=uz65ocvu;>cCU=fB@L zJ@V0Zr-mo@D3Z_JSyDfJHO;X7j?A;`1Ze_|-YmX80ys7xrLLIZ*hYq{9b+6g8P7X3 zaehC|uBzeacDwzOtowhf`S&%O2dD%p?ZBN3`_7*>ga;%z4j3`wMRadap&mV6zbJ6h z)IWT~xh~Te)ECE(3!R#NbxluLtVuVPo-A(^`fPPTAjjjsy~ppb1lRPZ{seaf_eS|O zQTI%fw@!VsV(T8ry}8nkJLU8E{{OTb!Z$o1zt7p>bg*wX57&fV$alQb^z6Um=J-ke zb2w9ia3;*{`{2p82*syP&t^hyk^eAjlk#ADR{@i&Or?4QJ(jMS{64H>`s4LT4SjPI zG_`ZG%@HYwRv`{M{5AcVdrY^5_0A#-c=#dZ*x~jo%HIjNF+9rSVk20J&d-nw;oguc z&EJ5}5Aw(vD-&r0#~{KR<=O`F`T7H!54JPgvM2)dN#)XxZ!g)T?AW$o)x$<3CO#MS zuj1qsJLQP-#T`eGn5nJT241-+yz75w?CG;14K0j9C--iH)WSu{HkUghd!+&aS&*0h zY8fau<$-jQCl5Qfw96yN(Def&{Cw%dGvmf|N4UO9el)Q`MEO-1vq4r-ZPtT# ze8SVMDUKI+4BOvkSV(`v@NS-8Vr<9k zANlH0eT(FC6K|ADifY-pd=q1*lAsLKTiGGSx>Ln|oi^Av^j`hGrB4|DWXE*t z=ia`FQ5)-Wx33pm84sp!Z!mK_UOX6VH|yH9Yb#H*Yuh%i(l}brnE2*{(*f@#|EKJ& z@2bF!u398DA^F~?TsK7Hvq6G}1j{25>|A<~h>--cr!0JZdHsZ$s532Z)=(3xq_c>Nxc-QyWGorF&IpK1z*Wr6 zvo3_nF=yMX;7E$Kb-I0Qr5Eac)lUw6Xl}n#FT&-Z^kf==9oDSS?~@4dt2Nz~QjHe5 zxwE-!1Oc~3xZ&aR-KeWLz;=loYq$u#p%@3npnsjFkGZdG#X%Tyy{s6@FyXVrr(UGU z-Gj=KlvyKf#ShD=6!I1(IrT114Rix%?e)2O?TcV=_97Q9NU*@0?X5* z8(M$ER4Qu*?6lB&kOFVM-}X!zsV%DK!a2W*{yRjtZ>&a&)72eH(PV*zp-O%*jd{Aj zC0i=TFdr!#?odem?pG4pk{y9+^^O1`Ny0TKoesmJ1(4c>nXFAac>xVO6)-%BEp?i2 z{_95~yR-;#FNwLp?_NlaFt=-E6g={h@lZ%gcdDQCy$6<#_Hw)8ARaA_v-S|P6w@@? z+R24xQO+ZfL3MGp6!?;p@Xu(c-pxs%r`3t^LOn|jsrh$Yt5L+^4<_Dn3#)Pgwm8a4 z@rVS3j~%@5$n(3n83UkRiC-*~aQfSkne1*Xeuwuqag;Et;KIE@-#z+0300!h)x0y5-8e8kCPx&T(0jQV8qAUCLP!uc+E)2crM0%z3vzunakVm=)Y zu92<%fotHbg1}ilha7?<^(O96sQZsX2X5BF5QaUcz)w7(L)@mrS4`^CpYZ= z>h-^$2;2PciWE|0d&S^;W9nPMZ>oCGT>E<}Ihs>K5#BNW5B!_U0rUF*!MEpuO;WXn zKfeJs@Hv4aABwJn>6c&`eTRG>NMO3;Uq%c6^#pep#Gv0ZWzX-=BM;6^2@c~*>#aqZ zQ;6LJo`2jnU}uC!uF#p$o1;~R`)d9NW2_LWz9_-Ma5R&F4Dk=f|MvsiJ43_7N2F^s zS!u4}`EEgYYp_#US$_fVD0S*@m+(K>DyjqyXm8%SC3ht-yWi@^e1AYK+~8~(G6R?Q z(3RuAyIDB%g&^aJ-}(>}$ig5d{KcJllXm<6c-2T_C?ooRlz>^h428dk;}{odI$Uq~ zKTaJ2+RI@0^Em$ThNg)#plJW=!XQ(Jk&JOc;QE??jr_#fNP}+15N!YdY9mqyn^cq0 z7Rx~H|0^*0dW@~$D!FPOd?B~BNBJXvPjffLiaVIhw7;LSa+q#?M@D__1{8g7-@Th; z9r>#O<0vv#)%de4y8qRfty-lN*(&^irFbp*B!M7JBRxVjUP>2$bly1~rwk){0YiSs zWtu8dpH8d9NkrinkfX`o)WsoTC6zdze4ukm^go#@)#25~kQ-ZpOMZ@x-{O5PXH5(~ z(=u(PF^sdtplMD+GO8hjHD*(^P#B<*y;*MLhTWb2es%tINY(BTTYlN9Vp2bh+3ns^ zt5iD-cQ>f8+Uvpm{*|i~p(*D5o-c32<$4t7yxJxkzh)VgUw`J1*wALbC%;~mjR%#= zeoixH*N{=nHa0y`*9aHV%o9Ad`-|-a=8R!3)JW4eZ!Wj=7(|;HSXb~k1Hx{&yl+p3 zV1IXWQ=}T`Ej&1y%Ws{c@W(m@>vsbX$#d%K8E$tyk;iDLd4&&7UfD{+qd($NkDXDw z4k>ppG!L4gdC+tF8u7V{ZrVN8-bUZL4jCJW^u0yBIF&LEn<_pIOEbi>9jrIn&rKzr zh!gYp;;HmjJnw{p%cnbwGBlQ1&LfT^R-2z6?HB#t-}Izv^xhxy)f>x`So*n;-xdMc z-?yYt8B4Z+_o20FSAReubspFExYg(~g~QAoBonAhkq^6V;M_V-6{B3oYW=3D9HIW> zf_m$ndXi!jWU4%rOd=?$dw+T}3`dCzfB8;1Jz_S`Ny3t)0~bFWBR)3xv8UbA@$LQg zm^{II0ZGj+{Qi8IY(I)knoh4GO`S6C>sF;+n^umwJju4z&}tg+L&la9x#8=Q{%Ek) zbic%$Rd=AsW)k{j?*?qkl)GZ-%V0c;?6=q74BMks#d&IQY)(ev+H8z{qNYj4S8$ZT zkJ6ofwUdxcHFBeo97Cl}!fpE6<`?FB5#_(Gm2C7|we)tuT+D&_$+0}|ag0CKvLUZD zRW$)o=Da8*YYW)GadsKx5@!5__-t}G`H6VA*QJRh%iwB<7kQ6TET)Le4i^ba4t#Md zchF48#HU6`QlY!!=B|3p_vA?ad_v*0lt&)B9>xG9T#r|fsJVn#VY1sKb!t|cql8T% zvznTYt3;H}aI7{SU$0}^JtYEG)=Mn<1o)F1wn{gTsG53N7E=iM^t3))LL_zPThiqEWMgn>8P57S3e)cC^EG7Ouy=9JnTr&#D1~ zq9pR<@{vN?M-GNKqQ1T+fglG6+DBusPGR&Hly0aSWB@|Yxh&Znb~l9$p2Durb%)3I zs=n0ostRgGN_1H64H~pG`|o0^K<+q2|ad zkE;BmCP6-yCn>fRg8oD4Dc4S39saA9F=whZo&OQRulvxyd2TG4^aRVL5zF3>af8kS zHul(y#V_=PZ4tGmVtRvFkOJzG{#zKv4GxOkWw}5VI_m2cGKLY<23v%{ulE{(8?YnD zs?M>XK(AjCv;J}4zqvC_6>U)F93>!T*>%I8iXGuH_&6Ze@%zn?U&qj_f{(+2&Ji{F zABoQabodS;K081L0qx#;h@Ier{juNG(YU|U?W)OCH#s-7o3ay5s4-j+FS7M8v^iE> zGgT#y4-NvWi*)Qzn5sKOluVpHf8oM~N4Ug4*ffWvb~3x=%J|XzFaV~`s!SJ<@u0K7 z{)Xma%Ac(|-bW5eFa=vbXin_`%(!D zve$ z66wIPJhLB_o@D@JC@)oP8upbsWo_F7hrp!q^;xqTcLX3irgDD@+r6^LI1k?Iil*f& z_%pDA9WDAhfp9Ze$&_j|GW-GblaEws46|Hm7B>e2qOej2e$51^dcJxxu{HksY* zl9=t1>3A&dTu)BSpx5rA{dln0tw6eqKqxZE0LG2V`kK&{BaZwjEkrG4U$$T^>#21Eh*ALe*L?H^|f=ICs6o?8QWJ+aXlCTEU#isu5C#&f&vSLJah z>S3jKO!d#!mv__Jw!n#clA$Y@ZAU5%Qk7%QfeX#G9xCn5PB*M@6N2e|VH26%h5QN~ zm8LI{a`QOP&0IN>v~=M5MpFlqLi+;-AC~bha-75o?2XyuVbi&^Ag-B1X=R52A)zQ~ z_aax5`d73U>}fX^2YQc3<(4f;ShOel9{Q)BJq3>IW#l=Dqfu0fh)u*95eh6kYD9yv z%#5EHZmUi0E~Krc;8O6b|L%I;ie)XcHz`owj^G!RpMp+@IBL{KHxE3X{eVqz)5YRJ z4$Bt%6dZYdXcaqi1W-G67yKwE7z))V2XQrhzCc`@L5Y`k(^=n!?UC+eK;-rn>1f;S z-f=4u*K;edm|$;`r)0iteMx=_dMG$PQZo~is3lij02Nwt2_vr}G0R0&sKG@B%eooK zy>pb@b%!WbpzrKRRLNDSV};H-)`jCXEHBNE`q?#j8jmjo<_89%>Gxfs*)QZYcUQOA z)?le85K-DFB{cr#HX;lVER^Kc#V|MyrEki{M-G|`x4OPnrDZDkf7A$`bqDxqhs{vT zi8*S>D=P;}!BI}xPEE(VBXpAWi*4<97mKmMV#B<^WkPAtZhnCobMYza>zhZm=S4Wq zGdnn2l^oW$KAvOpyov)L;g!d~p3Y|m->eE1h_`&PXtrMAt#c}|ytqyijKxNO1*-JJ zFUK6jpzQFF9qSQM*@Tm{xIA-|R}esIUlz~WwA^Z7rozNS|P z7Be~QzWi=?sZ16AU4ax$QVNZL2AFG3ggrOhCB$!{_M@Gko4C@p(LoPeVE4I`_ojoA z5fu(Sq(ZO81(&+6npuogR_NY*pRf5hlEPo+gR44l1=52$|4BWKhEp@Q{ha6S5qJs; za9A8iXSpn+=U|A_wbM;wINPf_3$Zl^Z^e^u-gdcn3e4JMwAxn)Kx9UdM{2)<)s1K1 z?B7#&9xb?MPhmy?PYc9_Q*mjB=&xY_5>lXZd?%pCV7jw5s{w@>1)7XMX_r4Q0Q)zr z_u|A`t;lk(eYcG4Y#LgLEaPKc4Raa}VrI>egB2a?5{4I31d$==Pi4b{9;+=v#`VW> zRSV-K2X46L;;s&gp+}rMZxkG+9b|1I4Xu-JS_mn3p&c3|Od;~xS~ z;aB->G-3*2x@&q^Bp2h;Y7 z^m0CR2fMMB+q;8vcvi`LvINkN-Ea$xD;X?vS$-;MUaC^y9YqoAs^tdkj8KK2Dbn*wM+YdCyGMV)ovr zp;A~kAX1_r*mBywvRaR{p6`y<^ogv)1vCOJfUE@HG{;Zfc#R74282G=8JjnJqV8}1 zx(>r+<-DHS(D0(ZMDmsF&-nV0ff?WAV}s_9tA6}*xy}pIjd;zWwu9^e^6>(rtG5VWE{md9};RSsB$o<1k1*?E~4 zGj`p{Oxs}OlH%`{WM=B6zjIt)6rj3$1^|C9!;~As`I}Ym*vhPY$h%y_0g3M&rxET{ z=!ABv;wFSsSzlu+xr4`6 zqHWww*NfVc&0~^MlwuS=D&GQ7v*hhgO@Z=Xx(RBhAyawtsgw7ws2xSORrhmor9zh( za)D0lnb$Q{dfUExiyf@se~+at-jMNXkE4e0V~{(DQKD67OR=2aF&SOgzFPv2etSa*gn=kzbL%$ux;&5F5u8JPGuY)bsz6;i2fD8mRB zx_TM+UC!-q^6u__)A6?HFw%^D`&(GR@9E}4s_O*@L(RjL;V=cfrVHABkHY;Z=p{;| zwKf5Ww2~O#E95cM_`OIuX74fWAD@Cugp;hS>F_?UIl?u&yJpm!T~)k<>|vMOr+Bx1 zg<@Vd4wCYUZM5({z36`Y0ZDedy9Cm?-|Jf%dhD-}kk@)ppnBU?KVC_wlZ+ZEZjKhc z4V+*r&yf#LW7p?ET#v)3~=9k-0BG8W&zF4b9l$O1$@*Ax6Yd&JsOzkT`L zO#))go@4i6o>=C=;}cKF{R+sH1DZD2t#z+1Hatw<>`G->Uw;TXOsrHqj&Kck1dT9JQ**!`8L3rCG1fv-WHx6l9I+8dy%} zg>M*(P=Wx}UvUCSzUS59ynVpQoC3aXpRKM^Ue~V*N=acCtgZ`Uz&p}*`OQ8f)s7eK z2}*`b)q}z5P#&Yhb$<>R3D-`hC}KS3-ZeDpim}jJ*j;fbx$JVf&UClRvc171y(6Pt z*8oT;O{~-phFY=@3l%;KdC{3wj{fi}cwn$Ov0J8fa%=^-PUc>$t^JP~+z{b3hlT-cUWa?dEzx;^~p9(F3{%c}7>iagM52gHJhlYPNswhG?4i&Y~#D_WcO$SIfiQx8%l%xG}60Q(T zGS&7^D*FX*7<)xuw&8Q%SRkv+XUzOf<((V09Is1Pc50x`bbh3By^5T#0P#?afu8lJ zRVYPvY`z2iziaARCBlt`+k z?HP!I8C5NqU!o^RQ!J`UZ~QQxtfP_@VgEUtXL?&FPP^d&|GiJuT2UG!dtd2|A|-PI z_ovrnwAxy2dvD}-htlM9_NT_|Xo-t$W{Im)X%_@ww=cgw1=HvX-@Rm@^ywic;64p2 z$x2Y`S^wg=--#-`(5Q^DlGeZD`7Ehby5PVB*_+iWbhr1%XJduKluIM-FbJV{L*y^e zL+%TKZLMi{p@;V=1Ra8&{J{$Ad`drqsO4Q-9$~7rz$aI?9;pOiJrOqC<|Av|?4l(C z-=eUEEl`{1COza{sQJ8qbuP0!+#xDw@rks9`1~}#K4rN5l#nDk(9{377ZU30aUtrp zBUQgI(Xl<-G3OGS4aFvi76-XWE5zq5UqJHX=PWs$1gQ}L-5pkgY_};+U7_&=2tc8( zAYtXjwnE%6Hy=?7&aTC1btuV;R}A=AgLSv|{jpF#3%Sgit>b%bL@D3GWcLQFKgqZz z+5gO_qNBU9H-09&&hjNnoL_fNA@MoEj)19OX|??3tt;Xpf20O{+XZZ*Gw)t;ixamj{RR`lW2UvfMhkP=B}!&Z0^-zvfKDo?3v(80*u&h-3-3pBnG4 zt0ZtIEF;b4#aA~BU583o_GaGb8@~a6`0R0a)o|2btRQZBS=F}f{_s7B;<)61;7A(G zL5=B!E~LEiwEcUOcwWea#f^RLSYyFk;)F~6hTF32O}9G_&+{;kt&~6~_t!N{j1Lb{ z*U3ySurrXACc#`ov)-lX8V3ARV)~_4MKz7IVrJ{dCJLy2Bum6 zZ~^3hHc$2ss*_0VtZJ=aONjdDZnED?m2yyediI0k4`{>5r%Tx0*265Ae6cE8eAhi7 z6CQ6962WG}B!2#MjQfYZe(IR3Y1y2<0oXY*^qlP9(hMh0u3AgB78`Rzn>(6lN%xoP zHd;ySj3XE#-z<9x7 z8X&CRb!V(ztXQeO-cS8lM*z=pQ}_9&P0ul2A56V&&WY=|yr#qL2G-T+Ol?Tg zI~kaBlZsYBt<{97aUrwd|EtaQgNBH6B>&PW#Cv-smkqiEF*UnxmP#h}FV zZ~ORiLPh|SekwK-gu4wClBOM=&#_^3^p7rm^HB=G@&#!<_$COJ>b*pclG6-I7p=1%%ph31P<$fONqU>@KGWJB4!Od$)fh}D)x8$U z@NJkhY6vFA~v&S8BN4Ze~ z(2pV`x;#-#xA4}lYUEr+tJQ<&*alMV-0)XV5{+z9c&l7K`+x39be(7n`<|mO#t74A zr{3qUpY`6J(($XClcl|pWh{tuOaq_*EpIdwQMx&aHlkaV zwe2}8{>d+PldyJDLsb=yWl< zW7T>G+eUtDU9>8+R`S42TlHjz$sTUE;pmIv!;CIbO{8UkI$oWsMhkAkO-KD&vRl8d|zh*3ek|^Z#eSWdXF^R z?a~EMzsmYE$`#r?Q~g_OovI3pCfYhc{!2D*i!Tu!uc8kCN8ljP=tZif)6>BI*7*GV zStKGw#^z6RCRy9Z?u`cSlLWrafC`y=kr9!6f(eGh54DpH-~a&rS=-s534evxm0R*A~M46~6{ImL9wT&Z_Z;IQe<<;Tag) zyJ{cGCfy7dp{3{b_C&a9#CRyxl9l$`1owmWr@3e6$EgfOc9_U;@+k_q;NW}w*e_)b zl58Ljt- zGA7$zx79U=Z^@~ z843cA@V9@y4q<{5|A<*-t55HR*P>9w3@$EA*^hxQb|z>d?XH z+3sl^)pUUy=!38$En*{Yp_x2+ZK_j6QkhB2cA>;r_izxqvn4GM%Rmbj)nU?3`)6a; za2w+`^(O3$Pjwi9CIGcbAPbszOz!^Bo6yPavJp!$H zN$`Cq)iOXI>ET&$Bprayhl9X9ox__h6~Ml~MzW~wGJOEJ=+@8&$r3V9^Qyrvvw$0d(_$Z zc;GQc@Wtckd&xLuJOk29#tJf~!x?&IZwEb(sakcZItj z;Fj&zk+LG@+29i@x3wYl=Gx~&{7A{hD&I4VoB7oKgo1GoOr=_=(gP`xS!)c=yE z@_kBh)g%0v0L1TqXi7r6Ypr=hD}%{7xK(ds;XNb{9zdY~;H8D$ae~qY_$}x`D;!q* z4TlqO|XEKe2x}ut)&Ji@S94akbo9U4M zhQ)?55RI)oSv8Ucy0gk#Vx1MpxBq;G1y?zr!N+Y*q|bxz;7b}40pnfX(qrBZea{jY z*2Vn^W%X{)be>rQ8dIY6XSxpA_U`SsZdLro){N|XZ?qB{24>D3-d3OBc*;goLjG#{ ziFkU&y)#@ar>Iv+oGx9vEhjQ!ICAa4GMVY4t*#q}?#md|)<7k@_lekld*pa@_sHV{A*;p!&567{ukDq| zFL+E!SBUY@b+ZkH;ZL#OHT|30WDli&llXXCGLZp3N4nE|PfwfrpqPl~FFVWr(zwk2 zqQp$kO>zN^r*t0}yq^v!Xr3U)Lm2DH;q&4VC*sk(xQdVD`g(@%&NY1A`_Bog_^u<2 zv|k`eke6#&eI*4=zp|9NeI2Y`R~HN*$5flDxCo*~<>rPfBwOMndvDpD&A3EzoIwso zk5jf+r}dv=YE1n*Sl-<;GD?sid&7Eq)c#3DxIcE#m;@Jr-|HB879NXo?UW5MshoCaLw9cA^#>5B;gQSvBKdp*laZyg&z=&fB_{e*Ph!c#u)SyP#q%Am zjjoKg7CLI6WeP|Sb3f4!v>vV;W*ur*QmCmUCJmW&mWIx52Mi~LNN>J3t}OTdRFqS> zv^Ub~JXrsU+T+`y*Z!8#quQ5g57BQM!DVzDdk*AYtjNIXP9uOKCczrw7sIYWp+ zh~4?e18tR6nBHh#_S!6arA*kDnaYP-$Q$D}t*_kTz8aK{90{&Y9*P+m9IU@XoEE;T5#?k{)= zqxy^!kd`#%DDjm9PW1NG79d$? z6ct6dt7n*+_*r$Oso> zdEY-m1Rr7d#FkllD?gYBV_nZxQy9qT#oJ3!J!wz=?r``nra8vYYuSB-;w@d$>@#o8 z&Ys1)-9^M&i)A{lr;hXa7B-wQCt4uqKogP&SmNv+XC37<)e5JlNNIcsT(#szS7`kT z7nBC_bT(zZZ9+x0$)`ONBk(xbp3X>3 ztv@9rW_u9HblLm5Xq=w9Q<`UO;M+vvY-zGrteToWSV1z1{J);4)(3~2k+&b(A@3`7 z;a8w+)zy*R@pA;o)*`gB50+WSCW+LmG^~_vbS26Mo1w~!EK6P@$T@+Buxgsn0w;GyY-kTRusXz%BZlb>WL_1RJ4 z*-x9gNRcOU9q7hZz@i`3Oad}UL#%dIc+`H&6`!Jp7L4j6t0lNHwX5X6rnsQuNl!dw zoc@5eno{o`aZ;A|dbf`Lbnbwae@7wFZM8%p8a!lcKtiw&Hj4ta&BL65?Z}zUW_MD( zd(V2N7io(u z4@1S&&`IPdSD{h+eh0E%goV3Yu4lEWbX@r@Q$|GA>uun$6=|6K`v37nSk(~AB?3g1 znh#dTF0oaqC^bI~5A3Gth~1oD1}%fiRH_4IW&L7JtpU2O)URnhy(}HEeuP%4bmbF6 zO4XAKyC*q)2-MEt!#b%?ikmBgOJE5gK*WqwtZ}iE-??}l;msa~jdDpPLv62nd)?Sn zK3_e_NsijKte!03q5Wv^eZ$9a*9Yq+p~ZyMZYZ;#ymdh=zq8(ZtUGX+peKGtRJosH z)c*UcBxx`fp$xoH`*TAPmT8Z}-e&G`2NVADq+`efud^5H+g{Ug=BtRp((d_S!^PTb zL~|Z(g}QTPa@!-s(9FGvmnt>Y!T1Q$h>!OKsVoG`@1Df)o8Ad%zUN-sHd}BJHenIJ zfQmR#?i}vypT`8vaUtF`KPDZ`6R(t0U3p*K#_sm)Bl6QrJaqn|D)s0JGbMY+Q}&!R zmF}EJUsNGZ#j9hSZw?T;EfON;XD77ymQhg+wj*1i-at;f)DJEFItqr*aMw;zL_Za; zo}{_16b{y5qKXf@xy`@GHqLS0hHAl<9o5HTl*qHK2G{&u8ta|j;|=~^y6c(XPaVdU zL=FSyXA|r6J9NCrF|ixS+QQrYZ0%O;C|%XGTLD-hFW=_9)yEC2^oHdO{P!1MUhR6W zOn~6wzY_fnPAdjtym_ac#Re1oO^ZyU{>%TYPEH(C4ujRemc_mMb>D1D_e^s&PY} zeX%m0Zl7OR-v0It4fhS@6r@?UQU;nf9SeQ=7BKh};wdyVaISh%%xLGu!PYYZO!26g1}dUa5M#r3p^^VC_}DW5lRX&*l|#0&As| z>NauUEEeuv3e2>ZX|Qv zar8mXOqMJmGGt(Sa$&qbCH9(`2NgtPDj#f_C=5yRWI&>JS5wn?Uo05z8dIjyz`0TT z0=HTwnimH6{;vUL6FRM!`OK*Ni6B%JUq32oP=3KjZ`XHyAtiEF=3e1HpR@Y?1Q_mb z6Kz4I}lx%yZ0e@ zQN4L1q0gU{cR=Zk)0Gd=NvV^gZsm%of_zpf_d*H`ON;JG&jt1l_K*D4lk%JIa}V}j zKMJzctMT`0@vAKioSL{$RpCzg|H)?;}0t!v~9GvT2<~kk}NFw#(4` z%D_`90h)G?dCo*&g7?9;_H|pskn}MjrJKLypJub*(!zLYce9i-#WiaBn~Ip4x$8%k zR4wZ57UzW;u}Y>uGkyW(fpp!8y#7~AL;MyO7!bn8r$VF2pJ7+@MUSUU{(6e#YcC27 z+O78<;o7-ZN#DNE^N|&iYgAPkMcFwWMiz#(tJo3TAKRTzH_r7;_u|8OT#|qDxcG== z?S{(VBQWHc4n=*>vr$}b@X+dBb$`;?H)9HTXiArprKH3QelCzq#3%5X1a+?<&u&lw3F>c8?O_{fPxci^P{v zr|-!(zj`zw<%WA6yD`_3P2B3b)H}&McnK9{1N`wEuHt+=^P=1-n=CTn!&=OZTb9W^d|k1|gGUS~@xb z^!jxKJ#3U=#{~#5)|hAQ(!BR|@P3_*6IY-8!;W{XXEaad^itISs_;fU1WdSx-qrmH zLyp9|2Q3tcftoxYV|MQk1Gf2>H|yT#;@P&Z6}~Ftf?GmPh)~Khr_0%2tLdE$*sM~Nm8uZl-{N0xG!;$z z9Mm1(Q+vU_1jIOKxTf=d#KI?gZtfiCsfhb-4Scy+?Bt4c%$zaxs6CVl7Q?jjGd^s) z?c%-o;E;6w^BseOgS*<>@7w$eXq>#yr2X(>_2jKJiTYRz;@WCcSK4=C{bOJD%Uy#f zM7wAe3Tt8(F|4l7sC0d?g@uLM$@Ep%7Mx~w)UD54^j~7kD`T;3-?O6A3BKiny*Dx* zE&XXe8T@g!?aVY?iM6UijgJe!2HL|9MW==8OhpBRyk#Ax?#weOO5d%XrweYLTvY%5 zJtF$x+JakQU@W#HmibWcP;kh>6(8YZcXN6#&s;=NH0Qp2)zr1Ieg%h>WaM#_csU{X z0t5N#$zQ8kry=_3eCQRNx^v<6Wn>R66{=4^17nX^1}y2xc=r5-IC~$g1mC-e8p>$z zmYW?I8r3q#4C!Z|Y;|y&y?PCc&n@{ejz*_w1q1S6HsVx7Pe9Hj;$nRxh zB8ux%Ri1pvDd#vgpW9^{r1J!&TJGO<(ww&?C6QAjO;7TLDDJ#K9`yRF&ctJTz8?(f zeed<^7FM6dL&snZntBm)B_H{cWS-bxNRr`qaJQ<>Sb9!I{fgN)lj=vZr=jK(>R(W2 zqOVZE_>2ZVX5r&mNd308UPAqNp<|xGA(gh>7t2zSskruwlFlghi9%76LYOsX{M;Mc zFIK~&@=RgapGqNlKN~N~#3H1=n$LaBMgH(#PlCL1qssd33M{ppmf_TKWTV)a(1)=Sx&`TQ<@f$71m#)7^ZR&G6hLy+4p6KBYJb~ zh1|i>B$(LNJ3odjxsA$x6;|%opjnstH7BoZ2lgg`Kthn)#)2+U1G2TL(#^oGO=)@U z)9rpjSG{+enF^pb4uI z^|Ic*m{n_9x%64q=eLz=niS#t?kpYsGp~F%o)S~M>gbYKS3Yk{fb??d z;Jo`eK1vQa*+r+tpFE+iA3}oC1$nltRFjej(XO6Pw#EW-T5fFH6|op@aLZjE_PQ^( zxZV!VpuF>0?Idmh*m4iXgsFK0sDogH2F77m^l86-o z^yf{1qCadDrv?$ z0IKWf;l%q_q)?;PKm9+bY`9+G`=pI8Bg?NjRv_UBmy`M|FVYZ$IvWRi6DLc>dn-mVSBe{O_9B7&bfQlfP0ZZawCRhzoo z-q^k1&IdJE&q>+$9GDxoZafM$`?E}|E6fTHweI&&D~oJw6mr`*J{qbeXf`I{TAj1b zCJgG>%2uEh+3-(E=`Xao*&8bBTYo&~4XDGh0rT_04`Of&NNQ$weTUM|d7IRxKVn?WlN7-yO-l_*roG zYtdRuk}ThB;14&@t4V+V-q+I7GQG64^vYVO3?!91y;Tv(wWID5h~5$hbAy*GSgjpT z`IJ!Et_i_pq0zjvA#!4gJ|77tNAsdd>f(Rap0|&xndr*U%;8y(=)n45OXF7%^dyKt z)Afhii0k<1q~EGE+NdxZzgHbU8Yys>qQ%4K-FNJG`XE8%WUmFlmzQ&~z}uTh&>({@shGNJ_J5B#LSSy51T2=$U9&Z4!^9IRlirGph3f@Xv`^qVw`su zS;g0WdVZ9q&|3E)LffaAGj#B30DE<$HGS-WLJrGSgU`*al~20Q*XHuh@g~|94-Lr) zzN);^=ech*Ftbg$yg+vw`RR69QmIQ~rgP3KxlW5_1x%y1Grn4wx7bOovnd2h26N^6 zV|11~Tb>g46Feyr_Ftg_DKJC-Z~^j5h77z;|Kh7GT#zW{+$#Se79c@@lHH%lkL!4L z(M2ZbwFJ9osq_yMg`81x5d@c|M(^@rdb$i5DxPMZ&P?Izm)8d$Mss-lzP3r{ONtd|8&wr_T+cYTaUUpJ>Zn>HO5+9 z*O#gk=#}I4s-i&X{A^cda0rxsskhYqA(F(W!`b)ofY+4HR?aj}&*#;5UhYu_RmEsB z4RalNKkZkG3$_C(aXwC%;5g%c8<(MRmyR8tsmsr>hNnu-Z3(@Sxl&`qCdR(!pSCq} z(O%bHPK?^q4D;J@9z&XCy?gBtmGpDFPW|^)&T*`JVgb=o z%pRYap=-72s>f5dtN5zKj-z>Gryt78+6l~5n^N!bdg38BmMM{3+r9iBnOvV@A6rZ` z*beu&$QtKP4l{`7LzB(i0-hPVJ#W&O7zBNzKgG=Uw_GS0uq=+tF%-5VupGPsn zW!Z23)IOI+(C@rISRSGhHMMV4V9&X4e<1rxefX9PI`3 zrCH08PR_oGY)%K$ex$SBMqAYTz3OQlE<3S>n(SYVz9ty-pYO;{ZnnKQo1A6oGCy#b z>Wa=yDbjN9NVlj!de%7U6shxTt~P5YtFYe$-5M@a;#Ma*P@N{o-oM z6HB93l#;v=XV^mOT{15?cRwIR@CcJVt(^o2GP=LOLSBj8Q=|fviwb}rpP7|KF=P?G z#LUFZ%<7;Lz?K|u^lx&5Q81lw`MW6Exb4q;lu%IZaL>4-)av%X_&x7cqOmhteV)nq<)J=}$pkxBZ|Z zZyZ5zBk+_L2az9kP)JDt`xtb2)Uvd5uDLXFVaPEo{c*Gwc>kpIz8KKF?4O{NF`+~# zO)7mR#4H%8ha*n!Z^{^n;-Qn)&4+t7A9Xhsi>8%hk4K~>bjH;mPye<#Dj#Co^MQoP zz3%(z3-J3!PY8k;^{BtQeVRLGJyTpbJF>QGM?boPPmI~qub#XgAM`PzvoKI|jzpA0 zthDFBO&$DdQ-hR2te-D-OyAD7CV7{ndh&`?tT!Ob+na)lk;23<_YEK#q!kaNg9 zHd?j6PKkDPnKrEWIYKMVx8IwsD-Xh}LEn%HKLlqvK64OlGW@B#H*Y0m^fAaPT~dwS zrz&;do_BN}L5O(XVaMeT%yFtEXqFnO*^RWBrK*Zs=H6o`qrE!t=K3-WEGvWv5QkZK z=)+Yl%Y1K&ohju2$R0S*EhNa7D*i#D067jn@WC!I5g-B*jNU!MN0pqe2oW^b^K}{V z?0xD1C`^3Ec%y!@RTnBMt|4Y_2!3C2H%!t6X6`y6B@0?kvtT7cUT)xoa^&7fOO)`; z%VGk}sqDn8WxcE6Rm14ipe2eD58nH4oFT~DEL*2ZBE0_h5;hC!ZUAg%qABiW5V6>!myOxoH=w?!BAG9XxUJ4>N_>YP`TXs%GS~0| zVL%g;$=P3DJJdDNui>*J3M3@Io`PFtrXaUz1J~jmA1u4~FUBF~8f;hIV!Vhg;9VN4?K!N_PgumS z*uY1xJFf6S$I7sd_afk#8ZD02P?9G}>iH4WLzgUj`c<~vK=zuZ>bf<$7NCiPEE5dO zoY?KGCLG=gV2xOqpPG8YF8xv-KOE13WjqiBO8cYm(6W6yj4doWK3E%oq%Xt8pN< z{+`>pGAA>}ao$ql*#JBrsi`SXK@V~Wb918vAI{NyI{#;X9qxQ@J%%aI!9zDx-f=G; z4o5^1|L3{lpqZsP5mC`o-T*LVtDYw9iRZc-QcPJr`DESCiT8dvG!xtq-^dGEZpp6S zZF4@4SlAS zSq$KrQ<*^8{^zNV=9T&Xg>A^OB)-0j0v!Hmo6`3!bW(a8FWQ8t}PVAK#&5Kuo7L?<)3HwV!d=+$~_9<<6K_fSaK@&}7@8(a; z?|NnnAa1OIZl2J~DWQRSm<^R@_ul*bd%x|LY!XeLLLl82_RbT7iKi~==6%^o_5?wY zx6TVct~xn6S-5cj-mn7&Z;91r;S3nHds!SzQb9Yq9oa2z0avVFO8BNE=jaL#1f^hf z`qyFic0U&aK$sF9|Eq8J2L;2uW7e8pNdPu=@Q3!!^-k79S{>w7oBo21i}3^Y_7Bhl z_z&-^odE?6)%ZIet&z^7B*FYusIE&Vpj{Gu;r?8I%J_wFybKj@Wj^CSu6!|JDN(=G z{pH4>6;}i>Yvtd-=nRx_UWm+5X=vAvDu(&< zwB;9fLR!bu%@6FllwKcPA0qwnjNn5xD2JG}IBDWj+O|8_O@hpl`Q>Y!UxaZV%XS>` z+*BAYls_T<9Ms?xKsD{v;N{~>+CH4K;#)Ocg|{1Y&f#ms9Yo))|Kko4_T5Q`JZ$k1 z^oDY!k5rsKe5?g%c@mu82hB?;V;`(<$_4G~t)?!NG5?wc2#>N4;cN ztzOkq={%@)+CbA#vx%3kSJ1frp6lgp1{selo||PO>yxPv7|}RY=nJMK?8QUQ%%5AN z*i@z0Y1WrVs`y`z6j)FL7#|!VU}U?mb1(!Fs5-h`5{8o>+C>|${9Mwc8Mn``IrZ7& zVb4_89Ry9CGv+Rwafjg9a{(ywG`InB;2X4PlS}Q~8tM4;Ksd zJn>xj*LynwWc#_upG{AD$#e1h<99cG?xt0pdnb5P5@4;6wijNT>xv(wUm)Uf5Hs@Y z$l{+nwiLJSNC5s*Qv>`bSBj&@A|9e4?IQoK8lLx=abK*p6SRNmJVs|OlYBYOUjAW# zK^rI1@Fffj09dwG6)+rS$}McwNqC{f!taa%646~FBUSeWbxhKE7JyLUGYNd9P>@;x z?dYrhaRZDk;CBQ;;@84FYi3m(8^)$yawTPq$5N&eG9kGXR_PRD9eAmVTyv(B$A~Vi z%3n7W7(9V!vnrR8ns{WIyW-m3SRfc#={!4QdiqTFZ0=3dKb{)d_;aI7ofw0>f~bV_ zda>ly-%bR#z!g5f#-m39$Rq1eN2W6Vo-aQw*WA~4X9?;^@tcpc$8v-*3ZasP>;50Y z-ZCu8wrv|F1f-=w$^;}v>5`HX5D*X$90Wvi=#&OgP#TG$K|oTvW@tqa7&?`%A*H+5 zIe0(sbHCsE*0z4RZTiD&uIr5B*y|uryW9L=U;{Rst!9gz|0zWO>^I96sw6?n(7xj; zb{FuBR0PI3>ys=e`Poa&VL{d0gcQ74a>Nsj3S|R~YVh*DDBRk4!KIcLd1&_y7$CS7 z3S(}Fv1$AyhfdbGa7k>p-TrZ*ItLa#PYU3Yeq3migDdZP%{$A1%Z>FFt!fBvZ~mN& zuOW?N8u<)qJmca)BebB33)IkW8m0P@xcmzTs;1~xVWkDWlnUNQ6NgI0>feTGI>L>e zChMyHWh5U#RE+*D1Q8sWd|a=8UlqZ9Hq0C}ax0(>{W@-1qe9GUS}S5Tn|hN>JGzn(k^FViqDvmo z0*5nv)lE0k<|BTUB4lhp&Hkw3He8zna>gS}R*gqsFPiX$ z9_TleLOv%IKYVPJ{Mu}6UFVRL8voO2Hc?|KpYOTXDi3w|^MyG8h*Sx!<38VCWY`O% zl@d%Deqn&<^ewQf-_w!m!sGP3I6D{s;kvS3EC^;gsJ*|S+x!Eq(L`c#v4<_yS8ayZnz!2xx(Qlf+OB=83H~ zmP^8xn$W<&*aw6+A#tiWS&~6X9uDA;!h<+D}RY|pV*`tZ2xDH6NyG){waS_&^ggFuS{ZL=J)n7T7Msi14lf)g- zSmr$JbWey&7EiESa&MND9Cw6_-K1(%=+^MfmV>N@H>2$QQ0<*7cfhJrpsb@2CVfq% zTE*?&do;DOad!hbkR7*QW=n4PM`C1xgug>o;tRQ&32~A2QQ~QDr0~jI*!kgy)k12P z5uou2PWrOlj2WduD=d%R5P>&FljTpHjyh;IZ9pA%%8!NLUUZqf;^=X5JU!gf3BKCi z-Uw3RVbR*vx1Run9FD9nD5}YSQ|>mbXRfEpM<0jDDfkR%@E20s2jjY;h%`+0{+0V^)Dwqlq)gtDHLidPHPyz-nw#ME0ws#e?y`CG{ zXC@%+nE_whb>tc-hEDV4fHo|4$p!Z(2?Dwjla)@5Yii4-&UoOiYKPBcHJIAORdjng zNCu8&dM=UEZNwqrzWrKk<{ee&2PoK(Nwnb2alchc`Pm-I_MA)YI;f<6ilt##X^x){ zLN8E_tbpLgyEPOhfbPOkGHI4@;4FA#l{0z#`|?dr92XG(2)Nf+PMh=S)q{?_2oZS& zCIVQCO$j;PdPmQ!;#L@Z>hzZbV~{uQprcbuS5LCrR9^9^@Ek}lFG*ZnIdRkcazb8N)pqJETwo7OKn?&lF7oZYV zaUrJ@c$bio7! zSLr}t>y34!TDFsUCSSCtJ6P1fo-4Oh(!# zz^FG}M!={EOA%px;4g9ZHafytxH;5OOTD->Z~2z9t3yBWl+We}=P@ z(uN+MYQkm8vYMwG92xK{NSx}!a|d4inklQd`F*9g0h<**R|6E!RsFDEpDVJOje0xL z=O>L9V{bbhu^@_W``8fS5zXoCLvga4`hA&sN9S_VIG`f6$9yYqTP(<29bpDg@LU^u z%I*kyM3uXEF~MHJ-;Bt>iWi#7+pp}jnR_VsU3>bo?mXY(CmuRpeYKP<;XS$eZ3QlZ zlBB8Gwuxt0#l=*4#1HIPv4bBqDB|YDL3EN2LiP9n@XYGrotjzkxx~{ zr?W!W&EhreR@%KC7?X6Vi;gBIe#=TdeXbf{e2~N{ZaezRztrNsXv2`uk+@p*t)ujz zt`~O~w?Ue1A+-OKxc)-?nfZOg78+!yjq-Jpa3Qd7kkLm^GovwCGQ^q%qHsg6EqPeE zWcyF+o*r%~)URturU?c@@WWL6QFNUvs-Ust7b`_Qf7Ffsk)ld;PyTI0MTdQD|zLJ6`Jl>@T@3!m6ftZJdsYNdN98+RJLN~ z`!avYHjn|Go!ZZuQ<|{xZChfO`2ED`!brGQ&uKS}u#^hKdU+Kj78NeAsV2Ubfu=Tt z5cPrJ)CekwQ`&1Msq^ZZ-)UH;M-maST5le^AKA&u1_%n_FMMTH6x|uMiuiyJh4@02 z;;_J$7%Vh-nXN8$8rn)T?WodZI+6Fz5y+(8Jq4TL-5cI#co!f3t7&r50XX6_I_@G7 zt#oO3@HZ zh=)a3@M<3g6JmRJR`8c>EMGCwM6f~1^r&zSRLzcVKehwySZ<(L6c-kP1i^Ha_4eC1 z7uf6llsI%s?O=rTX{hUGKSMm{k)o`bvJsJM$Uh^fbu>0OG@V|6-vSsp6Eh}-!qLhP zYJd3RBArBe^ZKq)KTrdwOF8uI3EThAFS-LndJ8P0f-kTqDno>8=EIJjh`b4qnvB#S z1Em__@!@iS(x|E)Z&1-oUO3wUv%J=E_&$H=V^sI`3nK3dQ}JoXto-lv;7)sq*;@qz z|Jqv!JLN~{H)B}Pa9zXaBVg?@fI=ldot~P56Y~o{k z%4RICBOL>WCqqnjmozsmC~v6B$6pHrP?@Fu(PmT@zAed zkLzaaF6$9p80YmO`t_vm%jS=40Jl)*CwXm}Z<i9 zU1l9u?sen{W~CY4I7{_dxwkUaD7E&h^!C%UEG}&2yM-d7s04YJPj8ph zSYwi~9m~+j2;JU~E^mD;lwqxdA~>y1b_kSZ{kb`e#L~Y_fknohthi*dO@wK3I9~m? z$)QGg{cI`jt#Ydx5VjSI8C`GGeYFny?T<=ff$4q@mj@rC@IC&?n{zW|a)oN~5B!T7 z&pod5zPeHm?B+j`l7BVMZLq5)Kj!pm?eZ2f%e9?%1POH?q#m+@U`bn2Tky@C0XwUI z5hr>hGcJhK^G|8eUcu<7ej=|)AWh3duS0wN5+nbpzRvjS-|^KN2Yf0T$6jj4de!MY zgP6nwG7EbaAnXyHGUk%{`}j8he*Dvu5W_Ep8>dMPTaBPo!$Vm2d&wK7OTWC_rroRK zYA|SPvuW*vGA%(6lW|(=FJ-09)3vEuQ{44`p#<5F#6DIgj+x3en-&#;O3mCo&vFTQGEzAyCI93hNgN9gO@-(QOrAUPCY?9}=1 z*oBrP_6wg^*NNVM6^^+6V$S6}~Kjvy)@%j((N%cqkB-O_)ajtH9S-Cj_E<_SdA z1LgC^kMZ}(sQ<3TBsAr?Z~{8wMtwUIsO!9M8YSSBDApK%!cU-WANfcGDUi_3Ok{ZK zaOG}J=e6F@HuU^iC~ZBB{acih{Ib*7sh>i)qaEJW4{=vvdtRS5u0U3kVOE~~yV(W!=#{HrNw8A6fCV2z@wI}Q#&lW``#C=OdX>jI=!>JdFN^#c{m6>$2WOAYLe zkIzztY!dP4?-ZBS_%o7^12OB9{u=|gj>I&YOz~~4sKp~5c~9R!LXX^-TE61eebRkp z9ui+|c`wbj)@QnGbbupcca7pqFBqye0zFM+|{K6g$Jy2YS`M5_g_wTm+G`+O`W>_TK>)LE4^O&c@*Zcv)6K7i%#qkN0$0u)>sf z?uR!R@r1}~|LUy4aerP57%#mfa?B>}HuXnkf^-rxgBNTIn$}D3_(6-SMD#hJLa0>% z+;86hXkEl8re;!aQY4tz05Czv-53gJO|<40XIfnvIil%-p%)#ZXyi~Sh5VS~! zrsfTDKei2)VLjA#5q2v^uR3qM#zz=wW)ql@m2%JCef>w}?s~TR5)2PfCpGOjoGoxn zd?~*NHUu{IqHig{0`^NZc2Ka%^a5O#M-vr=nBH!j>IH0pIh}UVA<1gc^z)Y~kfNIJeiP@--sVOzA!Nrk%x| zXUdAE%GafsZ_MGthe${0uc?Uox2*Jl)q473@gXoB9Fw3FFLtmjwr2m^iZI`$LsJL9H8Q@6*h=<#BK~INnp4?f`oQJACu@bm~7Yf=e2K(O#rGzaqQ(6dd zZXFQp%Ql-&UB>=(MeVD~W8cA_RqYZR9q@im$S+g`M#-9I~oXdb(Fej-H-rZ*|rbeN7c&9~r} z4|e#@(Swa(m%)m4pz~`*;s6hYCZ_)4n)r9oXZ{#d^tEwASwQUo%&;FjY+h&cD=~Os zCil?#?I`12E9NRQo*-lz+u8Ssb-;z=z%d=O6&OcbKo78bVehRF!z>U)X(n6ZR}bP@ z33&`^26tS10UW3QF(ONR+Yht|=>bo>u7aB^%s#-J-T53pNs4Iq8j)OqG|MmqprI9$ zAEy$*H>E^!+arBeZVr~x-Ga}hy|=&yHY;#0E?})QT;6{_@ZkjHEKdNZBXgXky$Iw^ zJRqpZeXz(tyl0z9!xL~%wU5N`o#9Z&k2EeQ3Ir|zS=RBfN1^1iSD(|PP+w_AfKLKB zhYM^>vAKC8@%4`F$NnfQM$HHrDPNHuKB;FE;DR#k{Qi|A5^NWm!hn4$x?q`D+4_h7 zY?s7J;)|FE#xi_vGc|C0^`saHOo5f%5;0t@ShsSDc#1a=E?yvp( zSS!=BZI%eYw8lwoGmepJhlO+ITEfLj`Pl@KObsvlDt%58er~(kcQ&~Jw&AX{Cj0$z z1I856v(rO~)ln0Nt{Q^Ai#yb9xamrdas{ z(KFlX;Ha~ggP7Z>Wi~#&5dt?#)Y4OCy{*Y=@3hB-&SlzPS|{cV5#v4 zvs>ef9T6Oc4C9Guq-%xMXy4pL>rF}?f|yU6CTk39$^=+bt?BJk9OxuyY@2<@ z1IUU1$TYlwxtq#zF}LE#|8XlG0Ez%}D`sWPIDYN;j<}EM)n0q4(o+ZG;NhKOUB4O^ zjuY$pId|dv2I$npGBUO2Xg14TF>)E_)xv5vzHDL$!mnHM#JaEwT+I5+Lb;KbONs8( zLZZH}$sXwmzyh_-7r%P%|F^epGM!^HZ56+QNOmAt_;P{~$G9h;G{OR=u%~M4KNOCPz01mx!p`|WiYO^l_`XLzZGxzf5LRG;+q61HmIVb?2 z-TdO>`&`v`Z5o0ApSob>1>!wTF{%w=i=G82X{80A@Bg|AT z|E5(`BOgmv2fsY|!eF}S)LMNiGyAaAckyR<5_#4caBH@b9YGwlh41m0U(?{3PmR4wdl`riyMG)&|?QM z$Ew%VJn8On2!Tu@ngQ#q`rZgJxjVZ_?h+ zd%Cstye?1r>0Fr9Qg(1H_-6OVT?Q<9w9Y!nS?T?@d?#2SZA>HHh#9t5l;lcS@RXs0 zoyY=8mbfFm+PZ1J#mBVLULd2nZ!SX0_DiqPuZJK;ceT+gcS>o6xU%RCDIlco(%b*W zhNuIg7q}(ORoB%F!huMEkDsDY+P?$fTnzTevL3%BMc@~!&n}^t#ukUhF*<)71j&z= zy*P#xE%RlMih4;Aj$IRDMbm_Sh8E6nFyP>x?wYi82~cHz%zOnRUMUK&Vf9}IWT$ye zi9IJEoCgGD;y@UAdA!}eH^hlb#7_&RM1cEWk6h&sIo-Vf`|f7a;z2GY$vgMp{Vmmz z48_xK5~!2rvfSx1Id+vht!}nNwPrlsA z>XKQcSgq74+J;R2cP;xb^8)VaZNk_&8(a{m>1}MF#Iw>jQ&_1j;-fNQKkq|bVFQFLt<1<%Fz-B4P3mlS*7~Vo-u;6O$ElFOR}WXV zHO6+vrVwKoIlu=gCZ(R$#DUXkN%6Cn;EUlBi$kl@&i5bA?{7>@mqn+flPduvt&U^0 zNH%u6gd2je)=d+}h1Pqx@FO~-IvJa5SQfON?!upmJioT~w5(1mo;&#G!F(#2U7VPrF5)fqt zd<{d}zD`n%JHs;Xf@NB-?@Hlu4HFq~VAlkXs*Q6EeMakTM}K5HWF zv%v13`~sXDr$!&NMjw+cIhKjrEG_8#RNpm3x^8(tBoZk!T}hs=P~bQ3t{C2M6osc~ zn%Q3`Il-l=j=HlIc_j+fy>g-##0h>>S|y5Wv8={2?Rpru^id+LsI;3r#|wNWik`$A z6B>IYQknd;q9o`?K9JJoW5FY^p~DG^9JruD{Tr7-=VG%NkxQdG$?_lkuH&0&&LAC5 z+;8Y)#*Y%jtU!A-4q9k|SWHLCkIjNHmre~kr}7Eb*XKH!IVmiVEAEJy!POhCSyDBa zZQl%P?#P26Qvh3nsD1ve1k0x@TC|n@nm2;o!R_k!7G?Z1 zJQ-z7L!!$HUX2g=x%F+EHvo!moQkbs9T7@ylC(0Bm12GMnS;750rR;<1=K-}c`peH z&}a

&{^mgrdyjW)x6*X&P>?B@?d(Y(Yd3ErKC0Wz(W{n#j|YYV0iRa&VN2O!d4U zzeQ3O;^@T_fY$*;?2AE!!&q?U>FpljzQEWQ%c$rY&4?ZVqC8OVBjAX11Bdp6#Q48@ z2Bk^&q6U9_**(afg{+ z-PRBg0k@@oe|I7TMn07#-M{6?5E4*?iqx0FK_fVPkxaT)?njMCUZgUR*8Dr5+9JWarS^Al!!Bwx?Rl1z|bQE+2L5kq6v9iWem{HZ@v*jQ2H?SfIBzz-nWs29!(F*bG~tXi`uW&_{GA? zbTjOB@;~6zOkI?h4bXw$LoUE!dU1~JZTX5$tb!Gso#m7~kNi6>nfXOkRn;G9U?%-) z^#5yzJb8x#!z*r{XF1r{s=y&%c6y}JfXE&_if6#l*=IfXGs4X~MQ?=c7JML{)M7x@ zAM?^Y>F9#{-uxnlh|9dT9U@C76}r&* z4_;#O_npBli~9=HF@`+Q&VaZOnA7#M_c(eO(v!P*CiNS)@0DfJ|8Udb3Co(~C7-k6 zgZBRqA>vHhSdcVOn&6|wj>*r$6X2i(=6xnH=iFE-{q2WIkxqIOzamfa7C!FJuu!Y& zVIDZ_0NfMU`wPPiO(j5@KwYX7Kt1O7B6$=07N(T{ zViUt=p+NqG3opLkghsRW-lGy5FlMh%>HPA)It;+x{SO~`J)ieOnVnCjMxk|7R;J&d zH9{k;0os@~=O=hi0#PJyR##SDT}PJx&bio}{MXmMnN{3?P-i+MlY!7ZM7bh_pJ_o>Xj@Czk%s_&zxEHG z^#WZO7Z2B8$daOljY8{kADyr6jx5KrSNHaAxMok{f?$w@4;EL5o?${?%v7=dX>!3G z{FH|b!ChMe51AcYO4W(V8XH)N(QJE-cnBJu*%nAUZV2q56+cw3-a{}CsWe1dG}tD! zcQDTADXI1QPw?km48ljI;_Yc5r|@&G2fXq(F}y!Hhs!LyD1;XO(obpxQbrKCQ^IOj zssv-wk^G!m6Z(U5XFd>jFQmS3ZeHEprUE<&03BJbB9e!YVLpH@0~oP&T(y0VQJmD< zU3_~zSwV3qt#peK0&H-3Vyi19TJN&F?mt!Lbd+P?XjsQD0C=PsHt$da)n?Tg&q%7) zyrXD(Hoq&WQW6a|7+dh;M~n7!K$7oT@$1^ykdE*vcecf>WIHQwNw;o^11RX}s(pCK zP5#*A_I2&=s7~727r=rBYR(pSVpi3XMf&o;d((^9r|&6?v%1z=b&wCSgA!^_eMsq) z!^VD;ibfK-mpP6Y@<6jRU_; z;iL`i$ysk#UJ=>}aUK4P`zoxa92R1b-zZdw4}d&TRlV&O6A5G&uTJfemSY6YCj${$ z%`fbFH3(cj+xht1SevS`Iqg;?1|=bVCg{9*i%P^a?}0KQkHrR*34*)M0jT|a&Wf@{ zXLK1(8mOmAxzKn^9^XuBRa5qXRhl4I$*``q=%!`)EsU(i$bpnEq;B9wm>(6>tKg$E0Jq;PzQuZ8`v6VO`D@ubub`e+rOBrx^ zSCeW#3WBlB_HMc$V-)&AEBL}Mner|2vs8hIn!k&iR#8j~TfDErw6Pzd$tpB&;&y>^~^cUlU?WBoVcZ;toS$5x3 zeNXjub{kkv>%EI^@1tG3a`r(wAMxNHOT6UK;m8U-3V`D)l_2mF(ArT(y_|A^Wiz{)bxmd*5>U!VpFO7;nI_;5t42OIM+h+MZrW8ap8!sJh8 zq;;Hbz+UUd7?ryZ@ojP#9GEtKg+3{cyF@`)>$ga)PRC9J<{JS~_q5Lbh%SUROE+oK zN(ejr&*1w8&slhX61@cjkk`mzxD|Nq-$1C`?Cd~bW24$4K2*HL_jB~>bXj1Xt^M!w z4&?Phi-^riMHR4CP70>Mt05EMB7nR2C+@bu{#^fr1A$WzhQK2A<_#2uL2?4p$d@$b z#eD)e>WjPmb$MzXNBfk`6AS~juV{VV|WDrHj6#-)OveoUv6^hJJ4TB8E!*y zK*j9FdX@%2yg%Mz%VPvuUDI!7wzbJ8R8(I`Sqy*7uR7@$%vpO95r|O~onC@vCQWDn zv5F{MMST67&j^LUarLA_N8d^7-A7nQ*jC^goD>{bHkqcB!XCfie%prDti*z!b+Vk5 zi7_6R3c5>--{T29?IwiOg2Hmcc;{2i)A*CS8ZByT%cJ)Q;CEl?ZF8vzd?VR9 zw`tU7@-Brt>pb?Sw4FOBQz)GzzF5cD0-8D{(LdGN>j zFb(B#c`yFZ(zIj@14@@jjE7}C5r|-=Sa*7FfdxR<++@mY7t}5THc3Ut>e0Rc6K7{6 zA0^Loh$9lJPlC+5PbXh^Gsgt8Df9ri(|u>d!&ajbs^VQM&3=KPf|`k|9rYX?>_6{z$h~?GaZJeK}!A=)1|4PGv->@9pol&J0eemvup9SzrO%R z-oNVu#LLy!9#-2uZT@tSw?3=p{6lHY#N|Sq)%Hu8)V6eaGDaDW$T(3dfQc`&~`tsum01m8fk@$-NDj4%PRm-T+Lz55S5V;f3AI^ zVS8$Ifs*%M!0wG1xV<_xHo{kZG)seA2Z#a9myIua<536b1?%B6hU|+P*wedp@1rjH zy6a{yvo%J5cDx-~H=o_LJ3D(|u~{wF7bQFU9`(lwzq8SIflz;^xH?yN$bYx10T(-N zK!l-}jry_1Wv4=&V+ctCp#$~>hKNqK6s+>&n&RVnIRTGvoZ=6zi#on8fBab72r`n& z3~_2iVGGJZU{B(&27`k6#;qswFE5Kf@pgc+-OQA0P&r@6ND9c!?@Ul#Fh@Vu$%$du z+uLhttQIp8E@}h8i}1Ae8v6v+81xxWD4_4yu=}@xnVs^oGHgS#Zxg|zMKwP^Idux@Kj^<# z)OLcHDf2FW+Sl6PUJ`1c+HB$*{YVvi-t}ci(sf(ASId50wX7hnp(tsw`oTXnY?TlY zIPrq{bKu;Wm$>e1%r0hS;b?!C&-bqcSWJ33n{+2NH9yxinTzjMHd5Su zpodj{`ZfEEg1%58Y<0do+$<~B`0n46A6AZW=lG){Cdv|CKHp_Y5ow@e_ErXuQoFN} zWtc1&yl+{mJDg1c5czcJ$KX+9iRc|%#`)#AEr=Gd;9A$1RfTjhC?>-q9`z>RmU0Y>a)dmq1#A-2r7(-_E9fOVaZUsxJn_@sGCT)3g>=rdS8$A~ zjLTutq;rOPli1>qJ8Y-|XU600s4da-Bi=-$hHbe4xIlWe3$wppLs)Nok(jDHu21X{ zP3+T7rD=r0^LziO3_n$!@NxF?=41SWNFDp%oO|Iz_CR`G0Ap^kq#dypo;zVfB7lX{ zxcwU%wcZ$#O>#oCHs+XijYVD?ytYezMepT5B-y|8qd=7Fifanlg0B7(Dhm-Td zXeA8OxgtmIbz#pw;uYIlqv*8qLFe9rn+8aWs*h{J^$^WNZ7f?O;PGCeL&#)=|&pq8jeLQ)SXIP-44B@im5@B})aX3TL&qU!Z;ns)1 zT~dGXDeQU+M5kgti*la)2P3TZ{z+xB7i#E#xBPm&^&!@YD~e=Drct#16UrWl?0?`MF9ySw}V(%`uW%L8$-yU0-(cz#K* z?E||$CvxiPZFfLFn}I`R?)sy)CB}e>EP2Qd zd#Jzq&NMO5w>rMdx%H$a*H7u`FnPMer*|WN+N0U&c7|IyUbH0QLyMk|m`t+}9qQG- zrjn{f-c}p!34X1%3edgmFEYKZs{OVd!J^K4!AJq*21jDQn{)5Y_eBTuKtWiy$-Lsb z?(aOV2Tl!EiBrXz`4HLVbngRV34D4?FzAcRd&eBsnm7gG7p{6|E!>+Qd5m|fAX4Y7 zUi!M{9saSI0O3T<8NOx{m+CkE4NNp$1dGq+Gr`QxmNa)I2{H5W8RKMiL z*;fNsZwh4c7ly@gU9eCMs_ORcN$9m9Pge$5djYz_g|!eqxZYGx<**wg)squKK=L_Y ztWrHoLI6;Tei%BZ_9WxKqA-y%N1z0w)x)q>RP#N!XJgsRjU_lKvt;>;A4l8QG>AC&w1-rz&Z+vjiu15@2|F3&VHySagtk z#mUE3^^SI`hj;?}f+OvcWAhefOnn@FmE6~vjqhH^+a`gFem^=qer=2On-SDQ7G{c$ zI#2o`Yi;PjyBhHIw#l zI3k@jjUB=^e{_Dh7nuL4ruCfGUs_|&pE9R(W@qR;1(+iGulhyp52j7r;yv;o0{z)n z$*sisVX6CWB11dD4|a*+DAo5MK(QSM1@t)R;8{;qyzybay_;`4`GuBu?PVrB{SKHT zw`Ew2?ws`kf=Jxksw04ZE2w7b-I*ABTb*N4t!<9dAZ+9egx=06x^i3Zj_B`nk{EX0 zk-qLD(60YLsa60aPjdG(ybil7X?IXYuywKK#Tbpf(`Lv->3L;G{lbGa?CATAm0?Nb zGp6=#p$dGkK9azjG3z4z7boVFm-*c^=c;H?b~LT5VDaR$o;0bcV`U+N`3eTFT>AuH zK-Aq#SGWaVn^qX5;z%7V$OIrrG*Jlb9lM#rlP&i`h5mf0f2`pi&s$ezc3@We!yZR&fb01Ksz#uSL#979b^0gZA!Df)@MFka#MR;Jt-Jp8slJ% z1T<2#_)*gh@(2BIEGXHbv|}qTNO5|G1oT##E%rR!2R^!>k9ru%#>hQKi*E2Y_u$^Y z-*{ZAWor{nuwVAFy;3dG{Vpu|%wJ!VyjA2?Lc;jg?dTBw1>U49j{`bU0;};^28s5+ z?^z|Bz4BkcF_GLWgAA_joV2dw!)Pberyib%4AwVemsa7YBVW{$#J+fdVwLg0 zMKH+;!o~KPTK3zxX~v1@tL(nAXQKnV??cUu=Bt#_2LgT6gr)exIczyy(0EXYHc?2y zdS|=3C?K@cyCfa4pVYVlYJIHAj*Zi(hYa=S!EYNXO;3Gt&x@4witD6n^OpW7AeF7k z$ab5IS?5rw8ogNCmAJ44-jv{!N&_2K?C;pyJe#69FBw z&w^+RffT*=1smbJ^~w6$JtLilkZtV1&H@B$VvNcbot5YjFi4>IY^T}G zhW3}^&0yqaQ&!}{-Sr?gDc{#O!_FhHo!idaC6kq&SqNZOXC`KKs*?P#)oBuh+E?94 zp5{v`Yc>+ZQ@Doc6k01jAN>~o0>r1X(kLi$L}vmCf~H;xqE%w9vwVY~$)3f{UD}lO zV^NBuy08pw+9j*0BRe z!w17oK7RxOs}FVDpC{uX{w$1e3=6SevkpS}ENb@>nDlhQG6o_V?l7{K$lhl?bmozG zewf_grY@Gb`Ry}^Lm8E@UrJm4_R{yuz)12V^E!|G^BWl)$dFqqS!MB0_#E9=GRb>$ z=Z>{{aK#jOZ~gMaY2|84st^A{av1m5-V`t#YXLX@Qi6Hv=YuKVG*G50Vp2S8 zrWz)WlR>mz1u(tO{amOH@I(2~gj7E~RIEE@GiJ6IC5+`C4)oq>yi-Gtfadejj@&Uz z(X%)ugO$m!`({Sj5SG(8d z8+0MXUZZVe?a@Nga*USRXIXNI3OxfU*DNq&K+aU0Q(WyV{QEw$+qg{iluxbsJYV%1 z&Dk) z?NsD1i~gue3zJC^a&}rZ`IU@nD`&b8ovw(>bBbX#>rNIO@QBoaES70?kOXGz6L8yR zD$iZ6LocsTvIC=LV>DilgQ8YB}bhBnBc<}{Tm6UxO2Tz`G^^}dO zM{nbw4BcPbX0wEwb(`)7Xib{pqcHu6pvzW{=Mnl-kj0xd-=`blDgCLm7OX^a*;sZy zUOf^Ay&?A-EU--)bY8`uB73~M-?sUXH`r=vDI68vM~gl>?o(tX)vwQ*jJzq!&B3w& zAX43k%rQow6!?pfHn)8>pgWa)!D&Ja)H$n(^Wxdr{hPP}8B6y-9UA-`ni;QM66FRG zXVE|(qTg2mfUNe~CwbvLmONBV?95tr&y!gozp&E>wNNYPUCPIwKi;!X$ZS*$XHrUb z4Y3nb4TZ*4-y1)@-V(r10|6S2iA3f7-0d4X!E1N+jQ;FB3LkBpIulBf_~Kc!xSvep zyl22=u|0CqAJgZpwWczBod8S?q+cZSJx={za2!#uJ`rJ~5&(Iado8Nb?Urpy)vmGF zT<%=%aZ>=5C3%>%zX;9YQID_MX?57Z#}ub-(cPh9pP^uBGEd+rVc<4=GxOfKR#WpL z?u9KOUOYB@Vgi6J%g+kwf!CL2&Z?Y%2w35)_er{RH~!f7NQ&izNj7s{Q%o|R<{L3Z zgx-FMx0%lU()%b-eS%e{E3cEs$;a6}NqSOwc8VQLkJi4CG~E2vfT^;RG3ASQ{FTQ8 z!{6;rz-M)xFS9rp|L(TbE#WKzy*N^f-(gCQB~ zwHuOitsTou7-8VtajZ_z;aZlR@5$J3k`mm&m4Vs52|LR5E)nA4KWexatMLMOP8fzI9>qO>p@)U`6tp+h(vYa9+djjTg`N+T(7ow_sz!|SkN9k17qK~yAlPttjeQ)n>2MMbvpP= zD@$So_GuTVi^~L3huCZ7J(8u5DegkoM~YbuT!yq;Vu;OCT;hfnPc|AGe;uemkne_z z4eidxGZo2eKyXf>uhaR`?QoH|AV1zN7Py>SCgL3tDw-)!$!R0I0aL>>)oz@7)85qdgTREB zSE_uC0Gi5cqCmkhKg}DI6w^li)tKN+ z(1xuo`DY_1SMwzhFNU)_*I^95Oo%cK{VRj78Qr`e~ERT21DbhL~^r?khT1XoWZd z8c)Snm7kH8rtyX2LXU<0;^>{4)n9>ta1x}z5wgA%!PC*!D`LRM@P|kV(gqGugx3I7 zgyuzR{W1a~f#boSHU#Wzdt7$?D9d7c2s;?GXA6{ZL&;f_niqvTwasMWp=460_MaY` z3OXLmhTLcC-m;NO&PW8^Va!Oh9l-%fJB-Bz=t(uWh&=rPHV=s<4S}UwzEh^j_G3)f z5QdZ+UNrJ7d@?K5sWlqQG_W03Wu2|q zg9xM->#_3saV*R#g>lLj$7^vX1zrcem_RFQw(4c5#>Gz5fyHtc9^zpZ&|<}cHFMP7 z;_MY6yDU}1LfQHSSwM`i&Q@WVbsXFVajH~nH=5Dpl7~$|47@0F4t^V0IY}V9C)Esu z!{_(4;lvap7p{XfpYwI&&ry67?QM+%_F?*U2Fhr$sz5BbNi=QX89;KN`LwjUQso4u zT-w;|(zlc1m8kKW=P{EEkYnJfQzgd0Q`b>+{`g3xlJS638--{MtK^258VjR{5Z}j`8$1#yGyp-Egj7VL<`Xp*m{L0XL9U^&_8D@J5qudcPxN3M7l| z_R5m?fpX(>p(!=q+>?--XLBE3iw<_3AG&QD$jain{Q1?FXb2Q`@6Ef){^M@@uVot& zON~)nlHfb#`Y}S+M_mqzHoRn;(T5AI%hSYWa`%4O+gosmr;aiT#gGE3>MrJyl3j28(?+|ed(&qYZPn2MsA|bQdgg&IjNT0= zpBYkAx17ao5MRaffkJyR#f>G!b=1-se4`a*Y9%DcjN>n|n~RRm@wy5D^y}oEImVC4 zqI?R`0gxd!+$6>5L8=O#Ggj9>=$}qZ+1d2S8kl??(T1isCxB3!P}61e7x;~g-kpvo zSfowp)IjMTFsn+fh;s^(0=atL$7?0VV0GPfL~hw_3}gy7$ONS!SW~=|0QgkZco7yZ zh9KTsb$Bjqw@{u)1}!Qq2k{>$+_#_NqKL~TREB^1~ z?^Azv?f1G@phcU6Mje~j;G3u>ge)oU5@lh-O{qTx{3eUXj>|kKkCtyjzjjvO`mG6) z)^|RT)vrEE>_1rD?OM5cr{rkAhH$vYk`-+BiptHghQB|{=M^ze&5VoKpLed#9q#~= z$=t)8Imt|(fh|FPu-XcR140{*Om=7p(uPbC^^3blME`C1LS)w?ujv6;rQP#0m{| zZO@A@-=BK2v>>Q+VptRoNnZw0f2ttAJ8KHe1TOb!r6>kF0t0_;aYoJu@OrYCPWEn` z-W{-9TVfc#;n~fQwu0~D`UT_&ZFRq0DonamR$x>@hB=LDLHkqi24_q7lDu2#o5f)E z+(m5W<&gSMAD=w2HoD2kNdOmBPAFD9`);~-+*=S53#i}!hqAYhin{IEh5=DPq!pw= z1j#{Kq(eYb=@>x7p>yaK5b2JQR=SaPNP`|ar4<-Dq#M4I>$>y#-gm9%U2FbuscZet z*yoO8AA4_%DNcDvRSV@~3DEG0(R{gY4U{gySwHs(0=V4}xQt!=rB497es3AhhjZOL zhXR9=Z`qQnX+B&nlsHzCXt$~{stOt^+IBkV|aJ@(9V zBjr1er1%}Z9Z^am#Y%0LSL zds~~-|Lj^`-kYbIuDG|{U#I>$K}r7Bmkm*YKG8j8rIsIrN`mt4#Kd+Ri`q}Y-)}L4 zUI0C>_D*rX*kq`&SIPqq)UN+VOkrmz&5w_p4l);dk#uJ6R&!~pkv~Ukm}2`>Wqzjy z?ksc|P=EOoi7Eer8;rgS=$#$|li#7(Uk(da;h^6z9@l5RUo{$j(-@H$utFx=2K0$W z_J;|Ux=;5D^t=-ifpyD9q1L z1bW#hYxuesm=Lvcz1c0O>i%7n3J5lkj<8xBED&s@ZBo)0Z@YZ@3;<2Fhqmv_Xt}@i z)J_oA34n=C_`LXaFR(Lfhp7S%H z8RQ$>M0d0Pa{W{?tE;%!)WglgMs#x|RcF@FsJr2tLLH}*yPa56mf`l?%o6lSQeuZN0RT-yexMS~4 znu~u7F87KgTm^+)4O!N&?drYI8qNdF!1^{tE0^?g^5@(@SX*0{u1g9perpB;B)uQG zbU)+1ajhzmPRm1qMB)l9R~Or4>2e-{CMI?HQ58(mLKpjI5v07`U^zpP~D8+k_sP zxRc|oXT*tIx4?i_h3d`W8S<$O75>IQy8*X9aL_{JbL;3ue$o4m@K$mDs!aAR{9*+7 zdi6Bn)@|unWjDrd^4|&4vUoI@L3**;5rsIdbj*~!%lA1|*ombuwre{2lT0wV6JK$; zwC99tV7T2^g9nI{rGV_HlbCSZ%koqElfKn6;I|DKU+z-WgQOK|%&~F-Hl^k_Umc&c zDYEq?(5~gemE%F$xIjLx%dIMJ2XPyL^st`gt(gV`HseK+x)t~GyX-a+q16!dP>beJ zcV5Gi=7s?U#}aXR>~GO>f}rtt)cBcea#<j z-V5Ndt@bP|E{k{&Zq~PGp*lgGD5ei;65dDKpf7%kVZ#*Wm4eY;fdMu&O8@0g{G4kB zwv8_IhDtV6d*~)V)~iv4TLMGF<+MUPngtcOl>OJZ$C1qsg3j$)AmBbJ)_#$i#I-t-B2MBVL~nN8 zc)d_F)FHWM;cb|8c~esJpCE2o=3G!iBJUlQH+@iIo^0q9?uEb`n1Z`97e|+^CUJ#` zPs}ztH+;u`Vyu${a^8l|vN>=rNz#)n^+20OC68)@Ycl%kyRY+MWZ1V8bR;98OA1!v zPile|7i+GjR=xU+JO0FFo1wk(;Zip1lcB@4MbAE5Vs9?|1L)3(McGVoaD@RipmY0v zc`})sJ_1f8+ugr*o-h!EN<1G65_R5LKd9d2EWS_{vHxt`tIVER>&h&QC>^<<)kind z45QXR8KoxeM>aZ+3VQy^r!KU%rGB~>49?(YvCfO)CjFt$Oc;n!r#GM#?EW!^x8ZL}DJw1Ir zQbqVPlA{zKjHu}+kZ?%0)|oe;kovW28bpJV@H8Xj65cbvRO`gG&LL1>qEVdBQ~tNv zGBy7!dhrh5Qn|M))Vgx@#J2iR$G_)CmRy`=UP(+^_cyJevL#C zn?{R`b=OUUDK8ogD--3P9g-t60XFT7PShE)%ugpTzV&inKpAIdHm=(KE}QM2?Gq|v z!L$T^j&r%?&sA%o8m2#;AknNFs}r>`UV4OI(U0lywU4f<+~^$C6PD@yVjg5Md{KyX zWte`BjZbQ+Scm;W5)%_&q2eLAHYl%R&S)>;n*ooK$*_+ATmBCT2JbHhN-4<*h%86A5=O`!w{r;Z4(EPYq? z1yh(?@yUH;p>f}>tll%GqqC5Wn&}7GpLLfihYTUXKTPK3S?>ni3t4bLU@Y;1f8QU*o<25v_i9~kLfdJ4B&}w| zw6)PfSIx)Wcz=1Wr7^_lTHa*D%$UHfPNz zFNV0AGk$#gr&{J6YkAJ}N4=k$CRGQUz=G@7OIOvywpn_=OdQrypOYcD&oKB80`%d(C%pLVkR>8XiG{gY> zRfL3>w}uAnPeK0pHk-CbgpQe*XBtfrNPn-weFgdP5yQU=(j)D$vY4B2 zG)bF>8$Qh&bq;MRiDMv`ysr^D^K)8{{}*a!-=fLDLV5VI`O`G=l(p%~kGfELGtPOc zYPJh~wS*q}z0gtUk-~pg#GfnHh@!a9=%dtjqoc;;$=YZ#R^Kx41j3X3bF+kEEA*uu~mR4xb=o&fy__ z3vK`KA^>BNcd?T621z9DT}(bHrr9sIKlSh;!FcXt4yX2egx-9@m!}_%#Bpv*Vo>2D zY2Gq?3e6zIgT~+la7wryC6aEt z@%#D`c;P&}2@Dv>JIIn&Nm(YWXW+WmTi}+BrqvaJ=Sy_Oh#7p5#Y!)mmv9HX);N?j zjnj6CHp^ZS`gc=Vu7u0ZHp?4!yrGYg*oi-z&KElNgWJl7w*7{qE?s7BfGcjgystuE z>EAsrim1PHqzdUbXo~X{_l~JI@&E(7)evFpBZV@V-n)3Cph1aYJxx`nQ5VdV>6pb9 zfHry8z9De+YBKBW=gRQV*A?}EVN#trUTPrUa=we79%4i71lsoxg3`d8h4+c53X-Dz z3`!0}Zm^d=IR-K<$wa4oI0hAiT8mVyR&c>`)xO#Z3yFxhGq2U%{$p)xxadekVN+u@ z5+3vAQ)!{f*S=}y!&id0&F;1G%F8oLQB@CSkv8b)_(~H&JcH;8y!e{n7u!BE$OeW7 zh^uOUX@S1nvVPF!b-7&cc>@t$j-8Gaq=EJv05?{14;nTqQPXAY#OO0-#Kn8lnq^+@ zJ0>i{c`Cz)VdQg=#fzkh<;tU%3q*{^B)5aAgDG&zC>uX+*wMzIwsxPb3gSsZWFS*C z>oW=S}6b%Js>o=IJ)S-*<0(4kSHpLK}H6KnQ| z6ln+4NA@_BOuOHNl^Z^rI@-?N(h-V6+$~ok{k3`Do&MML5cJBNkNL&lsvyqa2|1?`8xsa}(1S}&5*q!tR78vU3_0d6eCB-5Z0qO4IEL;?ee%t(0M`1XOg`vJpi zrHFsnN3@>fQ2Fc2brIA`Asx9+7erPUm05un#OU+!x z?YS!SlO~aZx7|kS0rk;`st|7dOtqU5+j$LTmTLxc!@2XI-`W7^e!sF-T&{|MbZk5V zZJHhvbEJD{dE42Q!Th~xKfi7ZTS=mhLG5nLurhXz-?96H`#L!WB^9B6yOt8D2jQE+ zE{bl?wWLg^<|%uh9~IFs(mU zVo0D2+Ghb#Rv`R?1I@p_i+qJ5@)~uV&Y-@qCbvMopgPEI?NzBuFlYIfT?QKfrK0csh&q&Vm_;-i)rMeXl5`h=xN-L$< z>4)R~J8)wMkZv>z)pOs3KS!PGB1^P?G9pI9$yDmCK@tc$o2( zHA^H1?hcs647zo-;7vLEcr#6OA zBUcvQBx!ROmevq?Qpj{i;0QtvCs(#*U{>pC?$zuJ!upaP6jZf54!# zTe|kXHpkVL#Aka@b|6uo(XGj;DrUT|3h_gl5TLiGRhxXh(lwPe*s6{j(iMFa(ajr^ zHR0U|?#ymO=TiF^RM{t$qF2Y!IiJ}Q@!)!e4mtLw3~Wx7Q{IAub8dgTP*{5+8p@`mBkrz+^u1XbGca1DHH=;6-dl2~>2vKG?)VQ< zJsRo5HJzIEb&BtCQ!txU_ej)G9T$14W<2WD9%BVvmQg%GJ9|*U>wDvS5slAuM1)po z@x;&3?ac!FIzhJ!r`t7OHpL51%zpIUNJwvp^sMP}MxRG&S-5U#>wkGoO%Q3*_Pgfi zVPh4cPC*YK`R}( zN~fC>x0((;yW-RzH5@n0WIYT2vNsHh@+|>$gj7rmS&X` zQTiI@Mi{}2K-x$wP+4#6)-m@G+HR$gBI93}1%JJ&2!yJNzGLq~7g{?NbTr0}Tnw$R zrVIKhH}VmppL|}&R`IoLDzFHaXVaDQvY;LM;O zXIbq{LogbJAiHYfW@Y^ds0bEQ#>`9wfokFvbOr94X}Uz`Y6M6d+8fgY16Mngt>lsr z93CsSpJw;2Bw6;Bx(f%6_3AWyB!zeIe%|Ad_LdsB%fhh8TVAI3#lgh;m%?vqe|NZE z%cNFFt1buP>|mW@Z;8u{_8#erkXI#8Ro^EMdEMH=5@+DAyI@-LYX{xv=X<+_unVOE zxgh*FqMRGT24m$VH8+BNu)uzg#voZDOJZs>R)+H1wLa6nk7!gqSiJ*gFE5@xZGrOC zpJ{C`?hdJ}Tb_(Xj+DQ|5B9n{Js;O}EBT4zgBid{((lj{+zUqS5R!ML1?xbJJqQ<)LyAd)tL=lr{21bWoY1n5FG{9D53}!h zVQ+-pOCl%cH|I-CM0v;GkNO50DpaR_3K1(kxN7%;%&t#csgiLUHjejpKWB;7K>sXF zZDB_dF(RH_yjHqtfqL9=Z(6Q2KI5D^L%rAB!7X@* z$S*fgHe9|8tRJya9JaepTE1G=x29Fm#$8jT#=$%*3&~( zPqoSwmZ;ucGi=R9*V;Kx0d;AJK?f#WFOr9< zS{uj%*K4*(BOnjmjmS8H_)Hg6E$zuBnSv}(&}qE_$+kQZxvw%|XA1(!31&{oG0|C~ z7p`QdsoJ;oETPHlyQ{;K$WL_3Wb?6pOQNssg(`%~SgSPhRrLj~VIMFO$WkJ;sU1X6 z9Z4up*MNqMy7Z>h1>5l7=Fr}D(?+7FZkHGRE!iS`e)*L*g{2b6Tf&4X=%B{ zufM4JJtCaV)8w~6);uU&xvi7pJg5J(T>0hNK|O#08n~!e)+5(fE?G*w*Bh+vp^O6m z2vVF2B}nKl`CN}FcN`O>IJM>9rx!17mqs4~2`S;_uBw&#Kg$Sg4%&r1+FuWwjBE@g zQILhmfFsbB8*s2p%WPu-Q)qsEQF$n^R4Hr0Xj@Mllic7wx~mbJy7C(rf(Zf|oSRAo z!}fz=HsN+?v!p9X>GL5+0HHn z*hlpUtuEL?bRX|+pNsn4@0>FJ^Uc8gvqgLC6U=+%>15kMEy4_l(i0iTnTTMZzQ`i{ z1ry@+ruGg|4w-$~U)T0%%53olW;tFbF4b&yvJNT)pQd~&19IRYjG)w!rKX%hu4X7h z&&!PSHrbaCQj4h%P(6n19k*?(ag#Rc+c7)ev5jp`af1gs3_yyQ(CRtjh3kMIw0B#4~7e)nA%N(!` zT&fCM=?6ZNldX8KAWCVJ@Emc>Vx-c>0T=E{T?FM52Zo9?68XkbA@$7H4qm{hU0N&% zjhOWDjWm18r1`Ljcmo6I6IBr+JD}V?-LG~+a$=3fUx;Ruj53kh-tJP%nsvca=kIcT2-Mn_Htn;2%+kYMVri zud0LZ80`nC!1sA#;6EJ%13d1)^c}gU8VFz8gqmKH{3uR{44z(;csmU+ z;h8^!8Y8s;%+@_x0_ssk2G+qa=0oPAd)Nh?=#O(0u z<+@VYM-SS|CF-8|N2-HgL_oPRE1+!hidlE8&4^fxh1suGB(>~aKhE!SwkNohXkJ8t zaU`b^5Yq|Y9w3R1Av#!#a8c>m<|t%`!K~fLE#$^6t%T&q;G8|gqhMC@Cn0a))|)T^}$kQZgxpBD1MFlhzU8ubD%kX(7A!zP5rDB zcGU5ledLvSn(lKGpR3J2!_!2&tZDb+33Y#`q9ZA0WLlN&&6?vsc=gRg_N>cezb`*Y zJlnTA3V}w^5H+r+LyfNXAfEOo+qJKM(%2FnLS66X!%SjOV`+PP zdu-g?(Up~ztbD_%!jw+YLJPCK`rysvgjd>aIf|Phi7BtqMCJ3p8&KiXm(Vb-J-K*`dE+{9 zkYqr7A2l*=Ut{a<)%vnB6MF*;Mc(8~ZHPFt+a69l{>)92+Kgc_-<*Gl6Rst!!0DwZXlsg9NbMQ2K*z;yc99u6B^UzTJ7h0 z$GhGzmzCv8Kq!?MEce(|>AnuuW=eW&%B9Gy&Mg4_;#2D6hul#mt14Gq%Y5SAdf zVT0m4EFeg{#AtP2>2NNwCoT}d8mfW;<-+Y&l9fjz-R@a%K}gMuczb?r~;rK z<$}i4vO4rmEJ+UDf5Mb6ZojE`ov&YGl>#L%Po8->)3-*qFdHm=xw>9m^%+%n{JWcV~tr zI}ngY6cqix?)P=n^X7N0@RTkX7;SJs1rmUUROZd;jgZW+ayD=M_+`}8Ui^{ph6 z; z^wnA>-=+8d%FF9iC%|eAP-GmXGw^3mMPN0S!z{l~ZkT2&Fe4jnj;O4TFBz;}G?Q~R zY;Kh5G)9acH|Pw9F60+%=RDPH%n^cnO!@opZ-t-m@8|UyxoWM}I}l_!yJtme>`V&Z zU+k+UJ>o=B|MQu02$fjI|I z(ns_GZO5at|K0dn;E{dcpMOU&ygHpN?q1B)XkLwlr`Pd|Z3jcGafnV-=Xkyv z{d{8gDRr4iKYFn}%aE_~q;AF$kq{-LuUYp?Iel=i^8G zt~pnoTC35OkjnVlw7*Bnm%2Y3rUC;93mBspur<$btS)0Oo6khyP}~f7s|1{rc%0N8 znjc<|s+1Mql$-g8U7Q__AL@_?`-b1Rh@5^Plk_!YNz`*^_LxAr0KG5dBS*f3v<@^uYR=7r z2T3TLupT*Uy>c8I@4QW2vpT)k6bt&r(SD?G63;dFb!(7m!UX|-qXe#RdEoesYXO%d zf$Noqm~6e|pzEr>1sA5Y>RbRZ!Ik8x@V67ax`l7g{26|cVuDc^-&puM9@{5952fiE ziB~vLptivZRWvCkA_s6`M2ZHz^g|{DS>)@iIR=I5bN5w8qYkFKXD+~8gk=i7xL73` zMx%>{!^*h3T$Fi_Qhmk-Dr6oN9vv$czFEzR%bh^cfqaA37*r^!laSaFo!g1$%cqN#6bUcop;*_N)G1)EYU|54X)NLw?=TkOyZ(Qy zqIRfi#q ziK6PtDOB_8l%Muov|@ia4yfGN(%n9RchVm#vwwaby^1_E^x14sOk6nEW}_OjefiIK z^1nJjN~s0)cr1+)9j6GL1MFL(RBP0j`QoAuSv3Dx6#?yX4b4m7_RP+5IZt>IsgD6p z&nRtq=k;;rTw1SoUK+1vj6-tOVc=4|qUrK}JDCsvA)I+=kok1N(HDm)iQPy9Cy-{b zvdHoKbhg!-jXHezgg8fzbL?AyPh6z^lAZB8K^ce8Kas@1?qm7W!`DwN@p;>PKKn=C zIg6d{BgOIU*dC#3YCbdN>et1oh>5~SJ7Y{ZxY()JDc4WSPs-6*z>76%vgd#`pJ9x$ zX(aD9w6KixbWH;dnnbvLk+|iXOP=oclBa8E12*TkfqStzthay8<@OU5bF0t+EVA>T zi%fqK^zVfC2d}>pE|yG)>$hPm7S(uL?0sxzlV2JzxoT*our5ki_|q4T5h?x@%s*a2!7 zaN%Q~gjIhapcnqMn(OJ8364@X_2--#mFsf$F3*nES6&@s&zz{M0Fcyib$8>g1M34s zW>_bhf&r2J<&WD`U+0bl0ifqy`&*RK5L9%zGbbY&4R>`F+_@qD7+3W2ctNvBlZ}}w zTu4Xp9cCm3Cb5IRWY(U+a$h1^Rr3~2mvh@#Rm=>~ zwaD5g3i#QfX~73pc9#)A)@XSW#Q`z}4`hTxpkyWXpCxuZcqa}P!^fwX4ST7?9n?`auE)GJ*#KOAz;0Zz6$$0u~knu>w|TpZ#khv+P$<%t@4Y4x@<{|43$wJ-|S2 zkXW=8y?hR_7dz+EI&rfvf)>%(Hd)7&=gfLIi)~I_;=9nNOToC=etQ~x4<;IFEtkk2 zZE$-ra~m-r)?zD%?h~0i@YE{*x^aQ&=T!(AqYo0rw&C=Hs2+Gy#_$-h}`dg0aE;I4Sy^F3M`mpz35oU!C5q0#lGKp6+;p`bYNwsqqN!+5c zxf(d+1GA+at5Gj0HOs;p;K^;f5=(xvXVG+_he+kFy_J63r#Vfp1VvgrluCeX$knya z&pE;2w`~z^b4Eo*P4z4vOSgXfTE|eT=mz(D<2KT!_c0A2nS}JGn8QEwU%l|TSsM&+ zYTFzT+=@hxF_j%K3F%S@!7;~6HlFl;iRLX=SevZju$^txf7-G0@C9TLp zg#2<`w*eh34m#`chFi<~jIRF68_G$K{uZ>zqnF+sh$6lH>{C4ZOo`=@Z2OiVDBB5FK zr5vg-Wd;naZj#S@eyrmbK~y<$P(pe!N%UNOy!3KX-b@qAnc`~Gx10NWc?%;4CscQf z9LtJrJ$B<)?}|JBDS6t*?Fexx8UH$3zj@bpZG=sv3;KKy6nYM}QW$5=&5bHeO)Aoy zZ{`X+ExiB)q!7Qp-rmv6d;L3ButB>Ls4Fvn(Cr{-k~xr%{(&yiKeOMTr(7n0u#3oT zgbD-e!!Io2hx{bik_>EEALin|zrS8B3DyUR#rM~mroST4c`zqKv$pl5I{!!nP8;7N zwz)%a7bK?Z@03fSt?M}u^5~vV=jl`ZR*p#ZWsM6(EoQF=nKM@XuTSC@5PtwUVqjm# zIA6SOR$BqGhl2uz2HD^W2SH2P8ZO>e?fbyL&jrbs;Rs5;>f1e&#(>DV(!G3KDjDVu z9IXYCu&bwwaBn%IylXkJY|x-stQ3L1w7O0F6&ryiB})M;monZf-ydIrx>88OSc-nr zmELzP4{qngiRnKByYEUwAI_YWK|3BgsR71=Yq^A6orF#xqzqjKopo9y789~R)boN! z3@(wgdl|8HWnhamjGpw)ndvvWSJamC(sL%YIdP^7*-qYV`c1D5(5hS4=oC;5e9o2F zjdc!jc@i)w$k`9tnph8$X)g7OtOUGfUX{!|0{CaH=GDpGt;w3L`!k0&E=4aIa+GS)*phDU87NT< zzZWy<{!$=1$KAH92>(vA^IBZ*Bvj%#iqdTA@IFYA9r+G!T);yoQPuLmg9ZTG9L$ZDtFmuu&BYnl~NXYk~yQ&g9+ zpN#hKQYbUy|)dk3cz=FtbBj5|MmKi*-w zd)tzTn-H4nE;TXp6rdRB@Sz*Z7-Z15fx&r!7`Uwu-<}ZMzy?C82P83$+3jhjBK%~f zykoTy&v0ehDQs}icN=x>1Vvm;DTrv(=c@XZ$|Y9z#dG!=9WJzFeEe3GHx!<}U5Q{M zP;YzM;*p6?OG}e}FtH(AESBxKV7z>bc(GDcsS{@X{^aB&0fCU$)6+{xPG%#TlEZVi zH@Oiv|C|AFkBB&BnI5R4%L@5k@GiDUzn(8aj1vg43Y9VTf}ze@ai|WpjE_zob8>C{ zY>FLc>CKd>#vMKRf?>q3W z2}ZtmKVgHQ6_fb06o!47jifXw_?RTv8F}aDPlF5}u`zq-;U>Tz$`o~p3u~SY4U?^R z-fh+J(Vf;KyZ^x<0=9z-O3_f3RRT`qa7G9OMJ|QY}$oKSq9c6=@#a zwqM-+Z5%axLmkl_;Z-2UEOg@SyNBS4 z{DJ6j#hA!sOG)BgYq9SiQ=pKiWlZTg8k4p68^zumcWkuS=Jg_j3oppD3MUi6dC7D{ z4{A8g=2O^h{?@5WET&klgER8j0txX3VSXv)`~RO)`qSwe8x;F`Z2+FQvrX@3%SR6t zYUEer(9bXH_6x_3h4S`Hu4^LMXy7{af{ydDDg2hC1hh{R+{=DoVu5wK;{CdaHB?_( z1xfTarm5FyAvV#DjdN$Q)MxkN*VAOTqA#111%3QJOcE4IkCuxYXFWXAV?^|u1?^2; z9`Eom1M&3%Z|c2j(pzN5f2s7>0oH&|R%0=k71QdZ5l0F8l<4iG*ga9&Un=f3LsY(Q zYRCD`@$K6%pk1{hZck!kS&@Cc`4Q?3ma-hx5*d{#DkXn*8QjOE4=r;dMM}^$2MPMR zh4>!1-z##A1mgU)B71*a&3q`tpkAq3w5D?YoKQ{_81@D1zm3e}qbJXYGb*bHiFcgDNHiVS*v+JX*4xQ>=EU^`SPU_DFrgSM zGMmbDql>#9WOn)4Etk^4r^?ytZGDN?=c1M|CrzD1o0W=VEKS+cB>BHTmuobQD5So1 z5e;CI*>W|9z!K3P0kFq}#K3TXA1*KWboVng0i^dgYQxomP*dk$vu>*a^UGZ>st=CR zNDK;JtxDCeRwxxL)c~=%kdGdiTk6YN7MW%o+#Tr-1JVg-RoBv4DJt_w>Fe~uLlwX7 z0O(<`h}F%%KJoX5F#NxgTpxHRIB*cY2NIsc-yI%gD8dxootVV<0L22=3L$qD_i(`G~WO@Scz0uZLhe+v`v5+x)ucXxML zIXJ?3`S{Q#Q>>Uy(F6)%kNlz9qLLPL|NQ1(UoMcwpm+<9eW3y|J|9c3K3l;AZs3%& zV;4U9>7!V$t?(&}-uW9NOP>@qmrr>~nJ4;B_kPRp^PZWWdWm$xqzIPlE_N2o6~UpN zUNaMSvvHYMK!9i}`tQyC^`V~+Fizg!$HN|sF2dXDw(`>Dj|LAL=CZ<;6aSJ?kE?09 zVhY-iV=d*f$y|-GsNX+uEHw{lJZlXpLX+~fwbSOlg#h-~wR-tG-T}PV`~MJ*e+r7i z2aH9H@13_{U_Uy(XLOUkZ@57m@jwgW5(`~^2=BidckS+(Ck-RDh5PX%BkDl@kMFd zy^*OsxDa;_5j5Ad8rN32f{TGyl~_kv2-KGcgq8l#sQ1{*b<-!CT6W;PhW=Ndu!`T# z?G653{b?Dy$oE?tJUzZM{bG$!zvdNv%@^(mh$(j_gd5$o8aG#pl3&w_;Qu_U|GO%t z#RgvBzkcfPF(4Rd=|Z6KDK$=q-Ul{V_(YGhWjjAZ_B~%TdSZuj!EaA*I9L9_&^HjE zIr6fQ7MfVp4-hBE?(Ln=R>JVLO7%yb@A@2!>Wmb;WC|s5eQF*1;90ewTKZF1LkSoh zF&uV}gS6YU;m<=dX~-iyRRqD`A;Pin(UXa2X9zxAI6XVM`M+NV7PbTC2Mo^moT4tY z{`P+U0gmgywj66VsD3s1bJDPMw#i*kGGI)dT+r{`&pV@LZ{qe)AkNsn&-Z9dM^ywW!0pCWD| z)A|PG=cNAQW+fRgvA$p^V`dzu)?k*9+fbM=$V;!k zE}AP#qj{5xtdm>ZJqBWX8!oKa1I{l4N{*%1X=eK2U{|jb7AuEqy-<5!nuZ!Rgl|{O ze@&YNun|bW^|1s(la_&+y^fpy7i-c6P{_;?U5=Jl-9We9RaQN$_%FjTA1cCj6yG$m zxD~$IO$ZDJ*V*9!wej+RvE2&mwc%J>KCv}7xRcjg935Gls)w=1s4cp#1KDFYcLXW! zrVr$nt>XRCkZ^v`j^`7gYG#h!;+Dq(M#lDU_5W*mdf|=45R$VK?Hnb33gYJfxOY6D zj9+1W#$rHl`)w0d!?#)V2M?I*8=)@OZ{c#j)NucYyjMY&Aqmnu9J~c5S%@0wKzkJk zjgss8OWMfKxIibLrh&tI?Tn9xK=P`Q|aUuFY(NS zpsM#L^eF#_-{jjTX0*>uy9Ux)!K~TQ|G7YhUl`YRS4z{?AmVhGF6*9`;1H3aQO+p^ ztkVV3HI3tXA>9Z^zFK9huYPW6!P*!?T&IrMclNUA1+S{R#7IrG55{ zu!EWLxmW!gE~?fE4HbX%Y{NhGlc_<%Pv1cWXR%+%LDp~bFT($*0Uvn%{?hWYhLI5+ z3KJ#Ns)TdXX0fBEFUj7tvT^(x!cfp>u3mtP6a#9wKc>HwJreR#Uk z12>~XU;RJbhtk4I2~QtY9>H&RTj{Zcl5Uz$d=XNj6(&V$v8UYvl*4$`{ROK` zj~J9y2TtjYf7*z@Oa=^aBv3)pU||P-bh+r?2h|(3gCif$bHTzM3zh3;oDX<%jTdUj zJ`1_hkv&Vl=`_A<{iQy@<44A0m6JpKQzz<97|GKHxZZ{cCw86=T#q{{@`p-`%CjuE zu(sZ7gFA`n%9yRJNX~ft+qbK!2`gh#MLppAOM$Pah`WTi{^OUd>j@45vd|*u7XHNq5-{am^|6z_!$%L@84p(jGrQn+ztR+f7zv8?l~L z;|oy2C!Pkd+lBk{lwDHdAWN=!CI9dS|F*{0wJ4P!P>Dy4_qj(jPt>F&Ia56!*ZyN> zuOj8JTK$+SolYFTU`kz2(|si?1lr900I@6sSr2^0jEM9Pt6Q$j6l$#UN=hkJtW6#7 zciypE>41wdF$EsWU@VwGUAD|6&P%A_!n*K@pZXDw68&_0^?<@0j;D|PU$0mZRK_IX zhg*T(8$I8u-bc$%_edGyrCxKEP3iKq&)A(x_Pvzzk7H^#=oTwo7XKqUZ`kH~ z+};!-2M6iBM;!#ne_7Y-KQL_K{%pcf7I`cvQj!9-d%73BkM0xIcV3q$(95kC^!lyL zdy#=^#A^pZ5dmNL66x}@Lnz(vtqhd)50TXi`lKOxgBqti8MYT;hR7a67>@`T8oV}? z8(ym-OKeYy01Lb$RUuKz!$OQQS5*f|IhGRHIfGXb#rGsO?cLsNf8&^BBw=7+rJo=gD}YQSKxO@lv5V@c)-14*no1iPzeIamS)g z)VdZ3&uFmPi^JM`{N+F93J#brXMRT6Z*&M9TmKZ0C1`N3>Uads;|JYx-JXVjqIN^Vnp1iU~d2Ff5Q^cM9f{3xi7B~EnCMpRrwca-j3vj#MIPO_1~3z zN1YKn2?4nl<^Vz=xRY}8zeKr+iJvNo{1ekfv`Z z`rL5J@~|7tU(nXud|*%$=IARzPm+Xczc)}Jp z|I(zy3<2J8)Hi{1MlF7d-#fD_c2PI;7*NPYuf4O)V5m`z6Ll}B`6ICK{o5rnUalLT zvI;qE{Bn_y{6x^VO+uUM;4AFiL*0zl;p=tVG7r>z!At23qIYx($(V=BA@rFY{2Ch)3z`-*U5Y%t}L`F^2#-Xhk1&XrCpKiZ+lN zAE^+x# zxxgK`X5PtG6XeRC5V{JQ)VV4>!{D^~BLlgrHs$b1X$hcfx|EwcE!A)HO`qdjh%i1F z-!3mQHWB?h&G+JNx-SYZwu3dNt@(%aNJ=SmDe)8VMkA7}p`$d#v$~Geq-CeOz1aMS zE(6ogHXDF+@rk`VflUUquwk%s3MFOkD-xd#v++$w?x85Cz*? z)3_8G%~rOF%CU zttsR|Pmv z4hX8~;T|v$rAKz6}A}W%OQ{NmJ#HkA&GyR0b7+2ccjD2s1A^on|~I zY+W=&MT(0K=5?#vzTi|PLTmMroDE!Z^;=aXnZE*Rx!zldXhWvX_}b_dIH6x+JP+1j z+%hRS-Fg5Rtf!f;{D_f1 zqQBC`W+rW+0xo=S_U1>T|Mo92F@?C5MJ<}QwF+1eB7Ucwy_~wz+jGa}YiWb6LgGN- z+?x{8I84#nA8@htS+BFYcjn?d6^rWWwxP!P_M%gtFgQtSkm4eMor! z@mpOpYNCrn8nYeVhTj?D>B&!)5{JBjW|TP_=Oj?t6Zbj&uqBjU0ww1l_c(Z65AgUg zCWaL_@>w|fKFG7b0L7%kJ|8ki{e+g?J7IT({_AitFb%M$8y{DUzJ2>hT?I0Cx$3m< z`whWgx|vy^RBF~dcpw8={ueD;3KIVHdd(B$rXWqogRZ=6cjGj(9xp5HVxG-J0&4ur zSdKT4D-;I}3|%?#{}J}y@mRj^|9EMjvN9@r6f$lNk<5nCuu>#9$|kE@_DrE{6?e7> zWoEn0B9YtPd&F&z?CkGx>GgW0_v7<>{Qgmo9=WdTJkR4i#`Aa{$3eF80VY5`YzG=~9iQ|){F}B@e3caY}I*sE6U5G%Kc3<;pBQ(#3 z=gg({10B76)r=EcaY&EtY3;H??vG=$BaUn0SidF9Q1()nrK~y6XD;7R>bNPx@Vm*P zA28|{{1tL4U*blg$6FXpx@u~eO6?6CCSt(!zyXX1!SnE?zdffJO3Q_^uh8n?ZjuRR z)gM>i>^Mo+C~9_4W##7P`k@RK%<6h-_?VBolf$Re!fmskX^h(|tHTMf!hY{tFQEd$GPDa2i$-Sbg_oLq2Vn zhd{$RFyir&sMo5P6!wLAL8hT4C%SpKEkL=xiyu8(diMZ`AVEX8N$tR+v8M^C|B9dn zls)oueI;AlFFF)*?1z0&ovDC+9C}1d=Ba7V%}(=Tt*+~2)SDb}Be$dZaNGBAOw z-=FnBu(;S^ue|9h_fqwug(HciD$1212!9i}%@S3Fv8swyv!Ro!tPpKEQ6dSoe;$7Y z<#?4k=YMf3X~6cbKNRJdP2h`rILjCJPCV^hqN5gv+S`3NN5g=3*H;(D{pbQ3iq*}S zxXV30(*1P} zNyHG{K}1n0LwrXA*JC(%&*8iUv!BJ*nWf^XgAC%9T=4axXlj1iQQO$B8`miSEnvcQ zhCOs~ksuHV%Bre#`UVEo<+;kRa}0ruazBurBPM#z{`bx?k>uMyd_}iG122&rjTUri zH58m#lT_L+5MHGtk{q5F4sUCw2nt_YG8^vqJL0_0T={IBMJu~bAM+ocLhL~Bzz8D+ zE@Ig3xRB}F-1lf#paFZ|2(A?~Lh_C5i$}xr$SzyalMpo)=Gs664^tR8p z%|&eoLILsk)BcUR&N@z|FcwUx#Ympcy1|5%*~0$&VO`e|Zcz!sdU5}q?fJs{rB;L) z(>XZzNgq!aCT5?RD=gn%;>?6%s`$$xb#p~zWG-E;uN49Zm!AvR@z&^(hSD%`snXJ- zNL$t;7a)`BS)x?&(auFcJ@Yxeu=%ZjFqsc~?`P5m;$Q9I=={wSQT}ARpcYjR{iF8jY-fovxgxTlg`~@7Y{N z_HZX$?=A8a7tQOg8D_1Iy~B(C@@%HSZM1skWSfktPHc8h?%d17u2pf%0V(?P2ELw< zP0MKC_Eq+pKVyIMbQ zJ=VZhaBKl+-eaB&<}u6D-Hxh~JVbwaz0HZ4J;RL0p#;_)Sd7-eL+^7^VLrT|dC$e^QK)}w0+-3?>kF#tJO(nzng7ASpV}dU z@>0!~rzkB9&Adq1PanCy*U!{R09un6_EWC2VUPVO9{&9)6$=cGsAQq)79&tu^p7c1 z$d?VfbYL2`uCPfI4p8QqZxmHMv)(u{M_V!S=k~k z#LBJ|&y~o~LOJQZv{i-eZR;%>BYWjO6zAez!fUS*qf$jsmUmVi$T<)E# zwU}J(QkSkIJqf#03(!0LRy6cB3HpepbGHQ_?N0m+T17>%Dt*Pr#0lpBHB{O~Qna%e zJfJ^?OHuZ>zFG>yvTqkVEX6ibCcjyIX~&DLn1o>woP8W3eL+>#`lw{+@geBCwP9EB z!+Mvb@1<0V+R0bEcSrlD-It)vsP@P`^8jC?LI1*)uofmavpi`LLq2I9+nKXYAFxlOJGBqz2&WoCW_Q z?%qf?;P~%trn`(AU!KBBZvE0tQhG;(DBHz4(b*1fgIJ9EwpW|#0lB~ZF7KmA67T^~ z0u%+puat+#`#t%}9R%5~*l>27{O~yo+r||2^N3EAkGyoZyYGsrM`O0J3cZBW#ai&8 z_W^*WE@BnnFt>dOzIGdp z&g2n_!Z)w(UPj@)Xn&JJ4y5lF?k|roMvn}}^v8+x{d7b7%aWw;{+jnZh@H9}99p8y zQ>AZSAKHpHykDtff9v&Di)gan=)0e~g!!UY-tp0L;*XQTfq3?Tj5>>wpnhnX6Dzzt zBv#aV;ILuFse_V+oLCXfXbI=o&F@Pe3({+hVsvah)< z)uVcS-TR_fp)Lzr1?>iIV8(6FOU76S1vnw2B>x9b zks1)(x74_IEQzH<{-Q?HT{&j~oE!h~T}SuDP`pJ$%wAwXqQc087Z*lOUgqCz=w}d` z1*2~8@VOPej!fGzV;6MuGfx?27bf_$dUlMx>ortAIgXJ`w9cZm&h6_2L$CA z{B8QU?;;Jn*aMlMY)phlsl6!i2J;=01xayDnhHf6fiH;I=McF3Z2`xmfy)>eg?kbcY{TBd*Z&9IbF2hmO5Qdg;j+ zC9Qx~Pk5vI-?78zQ;^qtvk!b4e{@t957s~GqC(^Z#I+v=HbO*8i>t~0gj)}09>3xo z#@q^1Nw~#ULgl96wKd|VYCV?M{pm(?tn^_As05A`zzS$bwLv!`XuI?{d7S&$uJ7No zUyKcC5h75RI8jAVRNa)SRE&u6U!t%29|FQo>JMSFP-8?mYg0AyZbJ|A@qsVT`jiwi zL%@AG>SOX)&%mHbmc{uRloejjyr%bea^?cb0Fe@keCI_0u4_*fBE&A|X_4djV%--s zW;T|2gdut}MtEp{P(k7mW&v($IOsFNi@GgN=Zm4SKQHgw^_5vg7&YViPPq}vJ`13& z)JN#%(dSFo@^_{eMTJDX@tnMO`GzwuVOHa!OA=pmDK_zAwV}o0T-Mo(rJL_9N+E5( zo|1x8=AW;ruTLy0605GRX4m2yuXu{9JX{@!H&hV>*A$`QVik;5QsEW`BEtS%LLJ~QqDK&tRQ=H-R{mb-*Vfp})=&8Ni7F}&$TukK->FPn&Ys?7Hj0?$I<=2Yv_Bz@~w_ot(w zF}nTsfU%5>Cuij9fDP$Wo@?34$9?)&mm(q(Y)7&g^s|f#bS=jZ-$P{O*y7#X`t>zFLMCM`RV|&F0HEUPS02r{TW#sA22UqE(Iad2 z9-m6gi#uBP(QKYiGaVUSrA+ozSF-5J{@8HCY%@tuoHvWMT}MCfLpOIf8&;gD?Zc6O z&*k$z#LU<=IQ1vHp@k@(vhZRJ)9%Tf@(GR!7f7C6&sU1yd$IKH%WG3MkdOtkCBOg| z897~^Utyab{C%nN-$e_*(M<6;H3XeGW!g1uBYko@1TMAZ9*?DAd$r`=W}0&b-(lRG zV9aYPQV$4MT(U>4DvCklV?W*1?J#bYlx2O=8s1<(FBgVGy4`*nHa{oVf~)uv)gvZ7 zGK0}VkA(61TESw_6JZ+utm}S2LdB$rs+xNSs`ta}n;t4xO)nPgq2kkcmI5H@G$y7htk}Fd1`P4P{m6 zo9w2ZIiIB8C#hc51WvCyr$tZx*#Zxl%x;~r?#g7}1ENFK`n*x9Q1V!8=NB)?!si9k z0`ECWAAV^zjHKqz)vkfwukLjJqf^F65j=$aJ;YT(_}NiZ{NWpK-ZNxP#FsZ@waP~H z=bl-OA^~dCez@>QBKd$6_F9k)Tl{YPF+a>nVr05#>9)1CQtF7#vbHvWg!YwHLkYW5 zoy{%$20BF?tFBZZdY;RHLyC)V4zKFm8%v?%k(H6RCh_x8EWbeU;wwPIT?zyA25qZ{=U`R`bw(Xa;r-3`znF6R<;DIpIH;RpxJUkPMi#x+T>!PWtDy@huhkpT-s-6 z?m#0pgFIXK_ z=v)(a!6ynV;15STbtXlzx#zj3lBeK{K35T@rcBKqd9X4<;!9A!nkMz5)44RQ;xJUf zSS+g`li6SB#@?GdF6%yJayyy)%=H+cgAu6uLJ+b^y76nz|k#-rW|9nKdmZP5*04?evQ3UORCm&Uh zMF$qGm_yG=@ni?H)xK*w>vAR9N>HVH*o^DD#x2BVtVlFLR%6~Uh#C``_eh_Vw%;fTjnjTTNylB`J=*ToMro$*YYDstc^oz zvB_m*H2lJ&gjBT4z*FKesB~>rJ!7>6Hb!Ovv}%N=XVK+gJXqv7Xb`8|7%R>6{%P!g zdJXx@XGbC)%{`9&j;r)+*xD0o8JN|`*^=0s)oZ=a#YOcI2T}J8U2DSjbz#9j9Zg9WH6wDY_^qnKpj;DhEX=IUq4_EK1)e z=?lb;KRql>-nXoYiC1*YdPtFTIF8&7WBf4j0;fAyXvDNjk>nb-I$ls>^VS2(R$ z?$Iyg9SIT8X4d>tQ|}X89f76L%1O~C@a0wvv_sPQitEM@OHSqtq@20YRr-eCLRGyP zF!sNG4tA+2+6fpIVmi&S&(R~2>;}<7UHru3f1RroEfJ5_o}@BPRZ-5m+BnBb6yKZo zQ>&+po|JO76sEdjsF6Tcl3N!NjJ%jHCorIca{Za7!reX z?kD5VsQ!Ugry`?@Lu=SM$Z=(cDX-#X8u&E+J~X7e*GF_ks^YLzYvY_ZA#Afxr1#dA zrz6$eg%$R%?yH3vdF#3EB9VmoQmpgk6UTxRCruoz%6VEVPv;4=t(xVq3zKYSHp?V$ zzVQPNp?-!}6%>I*(XDf3-HVcNF362eva7p+I^Pb*Cv!@0lnESJb&V%ulf`4PK8On? zXf<*R8Tm5(q~3;}m)z#?8GOBl2XF<_pFocRqgRnEKMaRT4boqZ*35I=58>9GPg)p{ z!Uxcq_;4dPH`m+AG=NhVs8AC^kdr zzlleRL_SU?8&YL7kQdM{DdODzW#Ia~T9T_oGg9tE#Paa>*Ig#2L!}BO26-v1`uCMn z#jWDZ8b^7xit=sx`TCaG&o{4?C*BFhi;aAh-~44LPMjBI7$gegJ{Sy>Y_DHj?y;5d zEqfbH-TmOFiSFE`*~l6%7wdUwDxQs5bVQ}!&$&^k9&MLLtMv3Nh#sZv2Jhw+g#=3KW9G(CQHSz38F{_qs4QzPv1zshgaRU2E@zQj&R z`PXc`5P2as&rWozzm&FU+Q(4It4eoS;J~8t@L)w_tg&)e$pQ@_Rb*V+`pZWSS0S?L z#+X2~KSy%A;AI}3YP_Pf$WWL?tp6vjJ~=`?lR@nopy(I7 zp!Lj9-**<*jk9+@D6w@NDs~#-Vgu=*{98Kge@lmW0j87t_4dj-p%t&ZdhV3-_&3i? z{D+vHyf+z%ds{mk{RGSgZcI*-N;4CTrE$GMvK_B&?j$`9^k?Q#aI}q{yzYGY!*jJV z^LvZrWYdniY&h6UbILXSL_dRh?0PNnO*RQ=I+($gBZnVf5*|Cx8%?kR6zfoLHU+c< z$sTS73x$*f()MeN5i(yp``x8W*GtpLOUq4Cca=hk9^Wg930+awg8Fs!9QwRU%5g;0 z|L?^V|BS+4Drf9u8!Eef%4pT6!^(bq5$J|=37(o)TR!;+J31+>8Bpn~ez+!Y(xU22 zV&5i_wIJH(jjpF3#Yh{>t1UW1Od7T)w=3XnUg1Eir;IY6s^mh*VFP<<+*%qmLuMS? zrlr75kr@`|eE8K@_6Wz9TSeJz2+gjU#7Prg2jOFR;%-6j@iQcHyE(;yZP36ea3$*xfc4>QK zVH`4HkMYt(f8+a+NS-lirT67BnWN!}IkK&&8GHHR1mmsg$9!wA>M*N*=~f@K;**}3 zE!|gmE_AB;Gvunz{F|#rlF4&^BmZ=NVkl0!xWahp$qQ!tVJhhPg57X?&Hc1~1@LiY zE1yiS@CX(7MIsvx$(v))l|%*R-x-0zHNW%p+#gRE@sm_`!r3mWXr5!G}C_Hrt z-+NxvM+I;aniCsiv~n(%w)(tO2jmbb?E+?qAx*{us4tF(L`LWJ89VyrmmAYmTwl)# z*X?9~=YB?`0txewo%{&9_ceM0sNK6Z;%N*uAX6vDOsBG0FzQS=F$s2TI`k*-{2g=T zTG6dXAB3O|_|D)}#{GtgfbeA@_O&Zc{m2PW$8(-kc*23hbPb?(jW>SH&Al4>-&6{+ z)z!9rPY*)Aivz2@%@a<4r#9vhI|XAR>A(j0H#tCdkrJ)@JIT>sU$p#Lpj$U2&RHN! zX=}oG!Q_qTb0$>I?f4slj?1PF^WV902$P7bUw$Fx;;!ZFrTiI%ysU5K+d8uwin0v{wx%Zv+x6T6#~F(%I-`=<|ST-ebIdd*1Stoov+E6#f-c{(~V&S z9|G%1BA(wbndg8a&gF=IVEi}8+zB-!b{Hho(<;SBb}-jR+NcKe5nJnE`Xma5`Yx}9 z?(;3TX)mL04mO{I{%ybIK3D0Jb6H$CYxna(`~fSFh8&?S7{HtW zWXZ;{#yAzjld)X8Erqc>z%#F(?Ad{1U6y=STQ0`s=FXn;Z{80LJ9JO}#y8ZX*lv(8 zBhQ!m4g_+oiW-Vme;D`Llxx;)G*T0~xVh5boSa^gbf3DB`LB(W3qv;Ux-gH_iJgs0 zzc!3%2KMw(j0imq1kG=6{T4aCddgG#1Kh%`oLC8&hu9c_)v)|MZOf=Ni55CRzk;I5 zD@gm2J4T#cyGkBlCH^}YXod_A8mc$}FeFqgj1}AueIiXTITUc*BHVMKTchuL+d*6e zAMX4rLOzLoK0pOz0xw9y*h%^PeN&DhH|19oTL3SDMlIV_ow~zRH~ZXjHRL!(omm{= z-@Ks|Bie!6zA#W2o!zCb_K^I#f?!?Rmxdq5@4&!Vy4v`V9Dz88;3NmJLoKP~qj?1x zjd=ikA(dLtvhm7K62M2VqKGZTVk&kY3+upPBm?6#9$1NFb2+fvxUusln(M+(?BjWu z1Bk4X%U4s)O~E1cgH*tU`hRI$`(!65ch;s5`Pe<`FW}ZKc_#?W8T6(h$E5_Kx5U`7 z=OH9!AI^MnsLn!~)B9rWXYlr+6~=CyIJTzdmVF2Jy| zA88B)0RS&djYc>5g)V(CuecdOYJTXAI}}S^-c`zbNb5IR`Tw(hhrT1p+Y%^|;+5LT z4J_MTk;}YPML79oJo9(Aj=xzj#~(jflucGm{cz{w?I%Ni!c80jVz2bRR~`I|lMEwh z7s{^HGK+RYs0TTXw-MV-wOGx|*t;L5Oau3!=@A5*;`agVR!yQ}%(bLC+en2u-Jk%64SSb47XV5B zx=?67y93-d1Ko0a#-Q3e4JWR2Vh4jT6o0*=EPxN^2e2{|3Iv&Ux!2prVtS?Wi z6cii%O_b=^!KZtL_KWNs?yAR>;#ivl*$0JH@5{`${d1W{^WrXQ@`6M&^Y6MG@G^w+ z_}+|?JY>MEEBhU=%Nxjqd!zunrMQySDD&4^UR>YNK~fY*N{IPH5Iz#Ls}O?J{Mb`xm{*1Idz;au$gcm_-s4@2|N!b?--9=Eg5Qlv<8$7G? z^#!XS!~Z}rRr<#&chU;>U)=-7b9w2T;@=%Y$%x&PhAYUc1)a4jp_tF!<}}vo_Sf?v z{8w8tG^?#^JJ_lSBtfjz2=24iUb-a>%*&grm%Yi|cd;g*Ei95Ujb^6WQA1GYs*Fue zi@$$NGlKjog)?A64Nx%u&9Z@nPy6zdtA?@8>o1v00>(THZ`1pR&kllHPchAkattNnmj{Lt!)l=Y@zfpM!rhlRpDUEAtDJV9$BU;xp6md z{MzCwHc{hAg~f}JI^PtX5~X;$OI>tG;x`*@-tvJ;e0BKs*H^+&Zfjn=q{@FL%b?c# z;MhAa=2*yTnv_BVvPdn5@l(^Iz;bTZ$7;$zB+31zPeIQE-B;==c>&S^005YCg&P_p z7Xw0I{yQwQ6d<%lN7I4E#k1xBWt2uB247S1CHE1N`X=bWwj@iX}-Z_aK+ zP&PV*@_tRB{CH(KvuL_GF@RGgQ88KpJki$o87dg{s!qG5(95GmPM?%Up1B%k^a0F# z#mU!tY`uO}XUXHl*=GO)n3QSOOKB$t)?Q(R&YPI980Z4=kFQ4k8=3_46rf2qY&5hd zj-tY$_hCes6vP*)_V28knG*VDG#&^8uygz@h7QGP(#S_xpBQn>&kn@vSZCh*&Zl=S zfRV16k8<^!V^OvGb{C8dVhfXr4$+elQT=>jzW=~cTJ|*VIMNst=EF74!^i#TIksI)QZkGk z43Y{a)t1CGqpOqE-hLc)kZjGm+i!2zwZAF;IX+p3i`zfWMf5%}XiMQ|f zhFEq+S*{*ih<>wBxco+_w%SQwi@xukFV)jPqUZSMcs7C1%!`@}%&6c&%+}qr7JXtC z>(4eUJ1L^9@)}7n(yP4K&4Kg6Qe?Na)+1QEb%s~IXm36uQp)msv6^0b%9Au~GfpXg z3VUJn*Hh!kw$$R_>Q%2!x-xAh^al!;e2w-M-@Nf~^@r0dqXevWT266~nZ&K)Ro)b< z?rP1K5&bfngBY`@glXUtIP?qg7S#$Jzg$k}xwJVg0|boq-m9A=tZsXVNZxBmEqpmi zmiZj-VJPx47@t??dIdG*;GS)st4NO$|Mp@Jkq9g(N1Oa38W-`()@wu@JyAx$qY7`K zN`C!dLPcIl4vV{oGcA+Qfaf;|S0xShq@rq)`ZoB_9x*u$%e;QtTH^?{Qh53PJQvqP zspz!nQCTxyZ0+jS%Ap)88$#yC`jqVD(JvG^&ph#4_Bh@~dFLs3*LMBqGgMN0VB6)S zkdJ&i__gokV5a!sJS2nIjxpJ~XPex}XIy7^dXP%)o||Q;rO4pTGeIL4W(RN6qQX1z zntoHn)d)tNcR#1Wr6%6oXYfWox;v_D^=ZaxVQKxRZ8kUDtoN0{OxLK=#7`^h+&sBG^W{+lfCsc?<2d`#_W| zcO+#n?d|+U4UiP;!A#sqOdhU^B4Bei6^*+MT~cQ}e2hEj$|-J6uJ5@tG&)O$Hu5kv z8_X6~J(_3WT+2j$&R1_Z9t@HL$tt#7A zl4Y|^n%Wle8ezGcV%#dPf;*eb#(qOikLI@=um-+y8+ms9wyt-UW|_WY86n(7Qc>o~ z>?vYFHnjhOxReI8ACt;Quk+oxd-tWi{<#yg70MDsVJnvUOem}a>6^(Ye0;&%yL}$H zU#rrEx1a61X@;F2-0!;$`S3>)c4yJXbu!3VA_r^t^)nktn85o}gBw@KLF_*&MTx0G(KFyc}SwL8-vH#czxH<7Ro zMC=*Nv{F%Z@=`p(n)xe!VxIFs$$Zm~NlelE5pl-B%(4pT?MW#DLne#E%zCVF9c=3l zA8&7MK3Zl^OGcFubmC8Y?YsznbexV^eV{Y?B5s;?=XD*X`5SSj`91EIB3(WaU6htm zZkC--uY1S(JZkfPYiU2dHqjO3h9DfLV4d;nJbmz{?f1k=a5D16z z^6C+3+u$92^UaV2i&wlTU6P~rI(GE6JFFrBRuR6SdMCX$_jq}Fjc2vEO0h|2hjCxI z2sNrcTv!q2J>PH1p|O1j&A4usx@;C1FbJ7)!vwIGQ^1^fZ2N3^=S+V8cyR~0X-)q3 z@1GbvH<%fZknK}q?BT%+gnDs_tyC9xxKZH7Y)nw&vS7OL|l9v>$EnW7$UE1Z40AGPR7aj zy@Ek6?ThJ?My>DK#}`2;b!b`9{=wnj_^29+ysUW8%cJEf`-L>*JDyD8Mx!tdmx-qv z;$fmpmHlWW7gywySMt57IRaUR^&tS8e1~v5a!erd+P@-B`2tz$$r9z0?MGoJF&H%# zehxP`0jd0&oIn|5ZCvI0Sd_c=#*brKlrEF0dG}kVH&O*Qf~%=HZv_Iw2@kxI_nDbZ zlU6e!$8&-gN+n!2?4X5#ubMe@Ilrx}%;u5Xd4{)0USkB9@#8kh#qvy?JHWJyZQ|leLmefvTQP=+O4cBH!xu{_}dX z;>*7A;`EpI>~-7^$}aS_NWc89_tJKY zbBxvyF$$u*ycXX{Xzv<<&Hio=P1>{HCQyo;G4Y)@q3_gq8n#JADeH zh8hj1OtBO4A~k>JQr{oT;VS*u#oqOT?N+-yt1HZfY)!dCUVy=AE&LRL0{X<&~F~xKBn$yLC2(KUNN}snosVES#lU5>#O!BDsfx8@jsRW4up3 z&!-0?YyFbbPkO{Kk9WH1^ewWFB5DiF{+1#M_zysYnEy(xXqP$-na6q8?G@L)XpL+m zHWrs(4{sHJFi%Je#&e=whJ$2HuH3P5Y2n4nM{e;9ZYW*KvrtY&*Y$dqYipXV1(srP zY1hv@#F&pUgEu%T@$>V(Or45D>7=<8FP1QgXa7-NFO-lAU1ok?gLV)z`0@Hlw97dL zlq(klYE~xSbeh4_pDpI3RDR0-@H;uLXQ*)_-=>IN#kreiecwAgbS~Uc@n>(9k{SY6 z+eBpWIkk=v|2^uQ>BuRs=&fbCEuPUBN7Op+D=U2HB`cWq<62LVvLLyEsusr{_P`rR zKTdn<>R(zWqTTp>uwG(4<(G!~b5g98i^jB2Z@Nuh~)6kReO$KevWUK z5V>l;q2Ov<)IHOva4v_<&20(vd7Gm0FY+{X-ljD9UzpQ8@9ZgL^HZGILWA>Rs8(>^ zu?%@HmV`Cu>fQvlZAaWXce`rcb^$4NlOMH#S=GN44nbd%`uFfceos={+BSv+Q_XeS z@Jlr-ho|+YTm{;@`)AmN$7*NQ%)VeWHQv0PtBaP@=Hcbd>$d2!FoZ(yOz0&zt?HhI z=}fRCat6(@<6NI13ZvkBxHdR}`~B@=a}29_%?GQs$ZV~aFtPn4tV-|q3}S*TX{_!` zEil)8x3nMn=GWIoj+nCx)P24pAUHc1aR^)MO#mXQ zj9juxD}dsURL`tTb*ju1EhwC2L95ltig@7(Vivw+|J*D}5)N=cjwZ0AMcqW~o7g1= z)HXYa=H>jUiSCy!97@@+Z;elAp4>zB?W3hV&~MIxgFBgsfkb^1Fi+E?IqwLq&j85V zw&v1&`CpR_Co^$$$6XWK@oYZe0;x7nRT!2dCCZSiCDmk5X`Q5Nu=Yk zV?l~N#ps><4d9bGTuz;?gxZVY9;^DLg3#}JdXQGm1o7?YGh6%5dt!ByibPJ{IED+I z%Y`m6nMo(~*E6ygPG{cyCkT0tpV8XaoFy_)m3$2)CaYI{(zY_lvL1YW5-csJurPY2 zBO~sPs%mvw;XK3R113qkEU_|jalbu0*+#Rof?z8be%pu(*XU<%hFe$K;0di5;ctfJ#TEy&>p{I+URe96l?I?mM*}{&0j211<>^m#^G3TZ4v~8u|9%-lGvvw&_V!!DzFgnhj zn6*56pQ_e&A2BhN;i_`!k$PI=ww8B$JDTqzFV-hm;w<`0<~J7VyR9xXQtvtyZ$z1% z&b+BW%dztcF$R=kjVHd45+%CC9GgXQjZG?_(L<-ky5~t@RWO#45BoXIoT6cn8itH>sO^@HE?zxl@0eaboNh_QG{*_kbLF<^- z=o`ip>*I>9Jg!>{(Rqi{tptd>%L#x>%0U-ey~VM{3%$_0A?vs#bxZhcePsA%;z5y0 zXp%6T+ijkl({odxc-UhF{IH)l%sM_@hUpupCfn1oFkaD{v~yE3eD>H{p>cWkEOsfp ze%rzP-fX*W-G%AZe!N9#!&}Tcb$@qg$bU|?Eg}j%cS-xK$_Qnu*Pr7h*QTG~3cDt}JrUoJp)>rQAzi(22CQTnQr;x$<;sUKATaNw~5>FQrh zOJ>sV2w)s{CXbfu$}AwXOd6onX~gz^=9Y^ZiVyQSiG*Y8O<>Yt-veG3wJ{S$M(Gu(l})tl_-64PZtT;31%JOOTpfIURg zq_C8fxV@9d?rTn^n=Gqw5yPuhSHh;x)MUW)4T1M*)0S-LI!)sUhU4L9 zTWL{XAAh}7>gYF#?Xc{8US<%F**c5(>tc4FjvXCkS>2m?B0xP@(`eGKC)3nt@Ry=Y z0laZ%XETsZ{R&tC{Yi*yqpsy|ENb8hj$XFc2Y6y2bdtLd;qdqg?uM=T8$mXytDhw* zJ~kG4@!~BzYdm1ogt{5P90s#`R>NruC7a9L#T0vMdaYIkTvvTo9y)Ki)U2+bUw2J6 ztJ5UGZvJdHwGAq4^*Esd0Ffr4=Fk`*MCO%8ZR+(^F1ivjwI2qWoxMe3M&69=frb2_ z5c?@rtIBHX^X?b5PtrgBbc`t?)75nRh7W$@MwTLE?F@)1C--J$ zvUa)fBNKIEe4Hp)Pkjbd_($O{nXbbw9nmb@;j^LX;b&S+M+c&3w`~C;)q0#&>#iR9 zNL3_&K{%~$Go*GE1yEn~R>@b0_>xvxCAKGVEWbu)ai&++3aSYuy@*6~otX{|?AZU+ zMI2!5L)rYEs{_)m0^>bftLG#Q#y@_5r>rYMvvA=aDTE$HD9E(lI!@i`E0&=x7{j9L| zshg&%0_$HgI&a5jW?~A{3B*|*)dWYHL)gi1u2L2k^=&6=zHkbaBbk^^$@Vu(`-Vkl z@~=Yhrjo_-WM-wq3Rj{&xc=|$`YgO-0xdbwoM?q|>$>AqpELn9$UG4yadmiNGFkM3 zYnmKZA;kKVSV0`(t}*_kpUbQInO9G86t%q&z5PafrAGxYIUl#wua05revzf8WCEFK zG*EKA5zqdE6dt4_dbl{wKg|%qDH}EATb}PBsyGCq&zpF!&eZQAxx4-ay&dD@VZ5LQ z6LSjgl3yBQo!z&`OspoC+8~)?q5q6*2B9qb3+~^K-$S%a3R2u5+%@KSE&oY+8pbh2Y0}E$q3WI9)UOrmy1mtHMysstR}qq& zu2u5y)c}0M6Zu>QqWGm(0Px|md0zl@L+Js|42^foVAwy8Z5{B!3l#ly7lT^(KCgqA zf6g0O^gsdffJvdtYl_Hs4PP2$+)vp+`-vZ|m2dg(tr`%0ijENz(Ifg+!2`);y@uqR z$#L2HNGpnX2r@7*@7&%Ig^Z>O$adkm`d^4I#Y&3!jVHfR+lC{1`B>X38+;nkLmhOe z^Rgsg*}!OlA5;Vlc20<3^cY7@<(##j*E5E>d5hECYBPni62?$We|<^$_7-!T(#|yASADF33IMyj z9d%wi5)`ENGQzr`>Wyhsdx~)lQ;Y})vJ7;-sZ`COkyAHAs<@(^bZTjJa!bdcA-NN@ z(mZE7gSOvXYA5tL&gLYzKH2|gHBWCNn&QTE_+bJmtVT0mVVr}A01+PJ(2;Ojd?NWq z!k5DbeQp(tej{&qBY?a~FWCJxL+bqF@dbVuIsRmKihAYN2(Li z>TkrgY}^nPY2P`;qb`(uqkz0xuHE|uhAiKOFS)0~S#FPp)RJW^jK-xE)ZPPi zG?eqG4w}kn$}4GC+mT{lJE=7Y{SzAPr$Qj0rjp4~N)$D!(1onob$|6`xYSS8BUTD0 z#Ic=6IreT$aPIiw)ZuNz^g?XYfR8`A(%Geo3O{4lTVQ=aZDJ&yby@Z`!=82CuuZA< zh#}%e1M58X>!zw3%&r@AT4$!c`k;f?;+2OB=XhhS@aw#G^6CrK+O?0q{YbwCZa|tD zdh57TK*7Ya+J$gSC*X-|HQuhgnIF;P=pOQqQQB?Z0lMr~J5wwhBL;XBUYGfV^u^!c zh^3V>o#8s0(H7(g}tODiLNuN_if!jYwDv+XCZ#@>5d|HTR?Pu-O&Eydk}EY4gXvPAWC&NOZ5|P zCsJtufCQf&Q@tb_%s{f9O7O&U?h*15Nl&vBv2D##KO0f#kk@cSt2A|^I3n>@C_9IY z@6X(-pDV5?0|F)u{Vo`9?Z;bcVLkoWbV4XOq?Wm5t9s&g<)jzhW4REOeM4iL=iPyS z-J%DMJHhWgYB=D;!Apj|BpnC>vwoyel5A(w^!-j)rPN)49F_Tsl3IR5se5~WTqlK` z)yl2q?z72{H<67L1FEq-Q2UFDLpNz_D7y6!i|xQj9ZO<9%bLrZ8&JIf$+AKUm(s-r*!Ee6eoU*8G!M zZYZ~4+J-UjojlTzewX#>Fz1j>hZ-AJgGT|kzrmK^Hh)UifYZb1sM4tUdsC_r6#V_I z@3{-vI6ZOYk6noI-SLm! zrWzRiy)4;_R}t69ttupyU6zS$zK4-$gv35B<;Ko^uMUkx{d+3#uxbd^zr6SD1kE4X z^LM;toKJI{gNWoLHz-oJ5>l+L)zgkQoi020frsa}#D6e&>F{4HvY(RM z3OVg_i3^^U)r7LFjV+Dsz?J^BJLDIOl$Ud4cPS=WUkjoU?{}SMXA`LTWN$I(Tkk+v z%5^l>|L8bGl$E|AlB{2&dS0-MAXsw_oWQ-^V64od+13&f0|>CvS>2XDDGfKN6NeDZ z$ktANl^q-%zVkBsDR(@bg$WKu7hosF5}%--<4;HadP4zuGyn$)$BvcD{y7fT56G`KNaQ;)LpR7M@yhiQ zgVZX1+ZQS7T$o`h_92Upj#55aG$PgymPELgDb)iv1Jeq~vqmQ#bNMVzs5`f9i1{X6t3!tc2X^ru1{*agvKBK9DZ$LlkMU! zBgly~Ylf0K9@IQJuVr%z6Bt|}ll;)fBrCTw&}b>MVDr|dnzpucopR^ErHSCWhvk!i z6UPNWUQ}fNhgEgU3AYK$Roqmm+yM46+s~;>cafdyOv5Dz@7m~lyhs{rI)-EF_#o!_ zTjTU(_o4hx!+j(eq5@7I%_=~yjFlx!`OM?G9Nb`fW|cA+-yTAIbFoN@dGVbwg# zFAst~+IpG!El_dak4dw{B_-Xc;eGwxF8vP6huIzp~cP3!)OopX4Wg4LslrFwS5ldOo+lCqsL$Lx4F`jQ=4kC*C0 z*IXX!tr*?z72N@N?r7gX!o16G89>DPi{s!-C?HRDntb9b30Uycb2|7}NKBqj?SP?yUwv-QeHu z?p+mm!`%;4Lmt+rEZcs*j4;L*ne;v7jF5(EOP-mBI@7NP-OkNGNAC!02M5(t#G$vc zWEZY>LcW`m;f#fYs2pkCgJDx3#+E7d*FDB`BlU3ZQzv()K0>pwmV;`}!v$@go`R|_Ap zp>rKw?l_FP%Jr`(Qiq0-i9AawxH~TA&BNs4QB{6Enb_&eK+1aWX?n4%Wb9J;8#%8? zLll{mm{5|!UTz{)6+=Hob`&4E_VFi7yCmcyqT_!s%HB4kLUEl+ZAJEnE&doRJ`Cor1H08)0sEN>acDCs=^cFPc zn_o`eC}X|-0Ey|s_wPK+EX;V#eaA=p?Wk2Cn?y$;nwdt0l9f4OCartx^INizJX2cx zna4qWm9iR=Ii36umeDTa>p#kCrlPnUj%j^1U2n=hy?)vY%Zop{BRu-{-_LOG(sHrK z;51*B(|C#mwvG(QhP_}LVX_ul>p)5s_ zXlzB2rBtXWDeG7>WY-8;hbV=p(8yYevS&Be5MnH$>`P+oTVu;QzvCMBGSB-wcklCl zKEFTi{i1hBt%sy6%x%|$gR{I%arEf%QjI$6%{e$*tReDFFWlV`o2mRIcwOe)z%QofB z?QL7UGdtWPtK6T{v|%slEqO0B@kI5EK72;|=y+sD-*RMSio&D$D((`!MaJ7TJJ065 z$o-hs_94Y;ZvRUx%OSfTL1(v&>=o8Aia31@umDeK(? za^np<;d>i*+CqOGiGYQpo}7OWMvyQ5^bzv1+#7res?ho^vC{}6A952Z#otkzcGp-T zZktOv-lt@8duuSjDAae-lkne8`%Z{g;2wV;8?p;kc}irUtc6iBZgE5MFX2SiUbAgL zGuUN7jMfY##_yuO3pVdME3|+90xWzHd-Ltejx&dP{GHLF|CrBAmPvg>Xqy=$-NxWe z)^*kkc6JV2Q`GPvPY_8EVp&V{u!Kvje>I%I1y&1ha)tscqdr5wCX@0|!cy-v# zweTHw{ra?Rp~>4+IF4dhPJ)~H)PCYg?D>O^#As%h8hi-20V+~HGYO3{X=eyLxP3#lg5-iq1Q-Z2NGm&BwIP;`)Taknb0b0F+9?n z=5|33m*HIF*m)RBiXGlB8}mfrqigP4LY;Mic+}kOSi!Z^OZ>6haOdBfxpfFB!iVN% z7WP>(;DcD`+q^pWy2QQL;<%K%d-t=ZZyRe8NWQPRZ3=F7ZCvV2P*oFIztx+CdI}h2 zxq|;}%_CG;XdGur^k=qLZH4e;MQBG;RITdH+@)ah3I znZ$FUqQ}Tob~q#h0k^z~b$a{mveVe=J!%BwP!dhvg=*=m^X)fAUD3X<&a;10O?|Q2 z7WbyWLC!(_+lOTZFyA(;h1t9ttbejY5PNb)-od#r`<+QCNqe9)sWpFK^D`e#ICo{J- z5HX+gvL)>68WuZ$QE#?ZLZk59`!`O9t~-*~SI+HqT2X$Mp~3>LXK9pImd9b{bdTe>YJ=0d98{N@o~W^D*Fp1+@NcNosQmI9)}VtY>I^PYGfV_vcHy#MIbOLaBQQ z0}n@-j$5=;bXCO+f_2G>tT!P>GghkOEt@VGw@r`n>-+OyF6~=;5hv&ONNV?oiF@8f zGO)L2h3$LEZWe4bHmGQw}jS&(q(3DaAA3YopTNF(yT2@jl6*u)89lctAaOm%>3_x{M#Q ztw9&EEt9RM2$l4qx8A&C+gJ_lUvTsU_EgpiK)U}tK_2(P4Lv^Rn4BmI#LJg-89hUz zZOI~WDxk76nxc5xIO}6U&*SZRxp{sHoKamWy_*vA!>j9F>aQ!v3HTx#5;WQUii&!5 z<#P^!+xW|aKSo~su;{DM1In1(0w;zgzY5pL1~eOe=oEQmEQ+L|ch1ACDKpGTL}&HP zPk+UZX6{6M+gGW@`*LU3@D|kRzqS!Jbn9W{oWI`?5FK1?+xAm32I&}lWL!UhH}PTT zNuTW-u~DvbHyHkMNbZmU!q5ZS)i<@PgMWSrc*F+<My71 z>BGq^IeKC>R!%d=s9r*6wNp&1|9%NKi-{MhyOKqRnY8l}97Lp|e!>5C7tNwNk@V$` z{=3y(!8da)Ws*{Va6jX3+v~DY_Z~MD0T`F_`c)rOajWND=lZTcJCM>~;TM*;siv8A z;C9RAT^l2IQccF;$L?1_e{bB@!$R<;&zFa0T&{`tVkfpdc_~aBlW0$hmw9!L;c8(P zy6#MY-?`cwkLCQ=uZ8rH&9#@>;~gto@3Ec4te&i3)>2?*@408iwxed^wV-yU;yx7m zsN3Sqv+hEdjxH@9mv>0XIX3m+Yzs|9dZY&1bGYu#;cx0~-b7xH;dTw{P00aDNY}t33@A3!|;gZ97dhI%D@WT)9IbrNWPuf3kqTAk^UO36( ztnTg6#_3gv^Yd8BQ)~%3-+eD}QOL3LMq&9@pFH4wo=$67%RVv7Svr^0_lQzGm0Yb6s-iBTUvN2o4z;hN>yTZ?q z5HH=K7MO>7_>wt83*Rf~-PB!zsJk$JH~Gc;oQ=@5*gbV_&o?9^W)#^K;rsXbmJ36? zS>yMS^N4}koEP_(ByW9oAoci?85B@U{3ad`Hr7N9ug)>ey0N~azg;`h6O+!>?gR*i zgVmVu$y@JJCkb4QEt!u$DZ*~hb2(Jsox=q?TmL>g`GUGoBF?(z zk`=RmxOAKqeZ}bHg?>`NpIiX@ggRiRn&wfxP2Wp-?LXL3h_n6Z1P-5h@Xq?}9~W)g z{9lhMA<2w%*k~&M(lvYOcEEZeuUp)>y_pT^eXD%;~ zHuoR1srqNS&CqJ^4 zN*j`y0e9u)HuVQ!FWmNFqR>upL79HmXIZ0(OM8t4IHwtevva;gSF-BB-fUTe{r>Tz zzy~;3?z*iPTl4({p40OnSFY)a8Yc+x{NZI|Pdby#oc&qjsYd#MideGOvo~&|SyLU7 zMxF{}HdqHc`qW6~fvu#8Z5R@u$nYwCgu~PX?H@nQ!JoEHb`JL6YaMS)zN_g4mVw?Vmm>3ii*x`1m1lAUcXEPqDwyo*N&yhn`%5rmN^*gwvN&e{cn0&K7`M`(B>? z{gG8XfsVQx{rRMXdlT_y>eOpZtsSMLbu6fN$U=MptMSd1yh(LZ|9C>>-t?vq_e=?# zACTq|wSSI$^_kpdII$>-q=`2$d~yJ$Iy1f2oBqcg^gAZ|^npM(UM7<1X?Nrt(>3lN zYBg|w5Xg$%9o}=Nd_o-@^h9Ta9iT)^h#?NB{>Bh}=uYtiajrkJ!3_RB5AyeU)&4*J z{mO@Qp;kg+C$nDj)y;U?w!Msh69b@k9BkOnD@oJ}@E?5d^9{nU$tNWq73lGktEZLb zJ`u9%@sZ)8r~TKh$M7N!(c2t}3IDL&hb293EkPWXNOZC7mE>0AJ<@jIT{|z@!8~tB za6KU6$X*8}Pa-uM0qGsozy0kRq&)zZ zM4G{04k^ulil6(x{LjMc_`ohBBg9WbdW!*KEPK82?|w|!s#q?JrZpC_-SBlLO20 zxOOBe&WQ>7CcF83e$a&5w@9^e`1r%um4t%p{a@+0$F=_P(UnqFnlott(t?c zfZ&0!RSO?VZKS(I;LR6aLlNY;_gKQ;L-S;CMjorwQf{*@hEL_22U6bh} z|AI?z|14e_&H2-u>G8}l?+hVg7)W_0Bpee-y~5j9_~R6Kj%)jXOvD2LhS6*j5>oz1 z;s=rN8By85{_*Y7d1ns&7bWS2Jm}_vd+!gx-`a}$0PGDd0yg&USgR@`|5{)`Z@L?W z_h5PRp8AH59)G{__tQ2u3L?3kNlRv6q^;z*#=1Uu8y)zbRxck9Em7IW>?^LS$VP!b zqW*zFMry9VFxYl*g5laGeB$SGd{PEic#Ykna5~DHF3(Y$iRdtFUZ2Qo3caT#Soar2nirl>FBo z0DYwZSk%`osVIaaWa4~_COGu{dOVFR7qg4+$;TEJ?V z8E#T=o}U=^zjcEH0E)wO?-5(Ei}dgj5)3=?CshTT_3xub=NxC8#27Zvw3;glT4GS3(Ec#hD+d5`4S!1$O^_y!XDy`xln z@qFjWOjFA!B2057t*XTm@~DkE(`c#P`M4{`5)WOJWGy8fJsHmcbZ+o~yy%q4{rr`a zYh_8_-m=iY4*tfS*LFe*raEMuep4m-kSIA}MCMA-W#gfz#!I*EjCvFIU1ijjf3+Rl zCE)#2=_!ti)P>BF?bg#A->s|&6p_A1oX#$T7Y6u_5_>P4JX%V6e>fg2=l)hWLn$yy zcw``?%D6y-eGAtRi%wJ-h?f=z&?%1yLlX)M^(v1TYt4C{*{flJUE&!-6UM<`D_Bvv^W_-UlUA{ZwHdPbx zonNa`!c}@^Mz*spjl^L4a(q7LrVR3mGnSG*4Vkfe-hlM4Rc<8mq$W&Y0eVOkw8d_k7SapnZz&{O!0fkIfAcLNMaykl*8;|MNUzXKnHql% zeeSJ1o6GK#{^*|=N%a*DLM$FfU~-{qv_Uq8V0 zbO$^KvY{Szw0QYupF8!uW9CztF8&#$;M~gzBCbAdyT`?B=G^HglGxhkTSy~3OGJ(? zpCfVdxe=_=J9wU6q8|JcdcUY=#jM~Bs~F8;xqx6sdm1==gO3WYJ|SRdpKmd~JLoQ2 zR5`WVLZIkWFZQQHFwkqK!1BF`y{Y@>FH@`Oe4Lx`dYUL}gut7RG3!pGM}GXYciMP{ zsD`;Qm|=V_aM$Bu8XG3enWZKq?4GVR7VQ=Y@M4pIQB zt>M4NtU1$C$X6-kYV}PaiWjm>?GBY<@=bgI2UwsYSpP9;N-AZef)^K1 ztb(Gm)`@xhFs7@c$vstptM^iur>2@nvR8i@2H*5a@UxZN!@|e2Q}KH_i+-@|%J9{6 zgOc@5aJ5kXXP&@d7-Cg~xmHjnMWt7Ax+sDaX}`w?#-~6$R02uff>#94P)`TJc$ZXN zhqepAe%$w78m|HqCl=XANyzlidGhH9z_1=NrlshpIt&%b%EFj_uPmvtOeM(W>ar@$ z+O8#j>?GJ>K=z4@FJ3NZ@E16CtU!1HMtkPY^?y+C+Ps6C9$&j{crY3#V>!L49#P9- z@x^0zqVR86+_Zp@pv>^?{cp;YzVGXJDGSA<=d#N_{%2;Su((tr^MN(*faYCayi5|p z{P^^^5wsi-GJOQ_8@splUk^N5ieVNEWPiD0`*mv|T(gPaBg_nG8Jo${oY0&w2Y(mq8d5iu|$)ofp5Irs&}qd<`R zh7aex?{o_df$oQ4%HWwr3gO~hn`yLS{_YFZVW(<;wl*C7?%qGg#{E*BLH2PvLuj5R zdwBDtY|mjdW6aHE0@W3i*E1ZUjo-lW@KQ=Rc${r)gFtjpuiwNALyoJ@;tNx+B*8%6 z;|3N>V0a6?fZ_MHZ}Ab`p&o6npCj71PSsYLy^G`pGf6_@R%X+IvKW8uJR1tR-!KKn z)xy4$kvX5mWtf=!IuJv?8r1+-uOqs`>If!*ztC2lBW35bi4bNP~o#+ zvKSYMZ;LI78bU(vS0)^b6Pq8#Yx1C@L@}3?UoC?|Uo{8@E4+lU!q5*+aC1~QuJ+kd zAQC2EZ=HC@vrLGu{|scfm+q4C4jJc%sT3qt<* zX~yB>#S<>}Mtv|x;2&k%#<}S1A_}u8Z66H1SWuPrAU^N8oAoYnI zE9OgYPeGw(o!ctoLP<$se?w5JlI3~CV3|=J^7^J%uRO9)KQ06pPBwFf+b&GvDETdM z3mLdyI7V_7SnU;)x(I@NuH{JeU#cB~aQ{`lG=60zv~3?x^Kf8t+MT|N$An_x)U;cb z70uS|`x}umK&9i`r+YLf=;(Dwq2GQ+sU~goWSbOx!6le0Unlu%_s__e67+6 z8RIf}kfxQ4ZmA{#um4^L_jXocxq-S!oly@h0y;DfW*>|qU;yZ&rqI4p5@XuEHPgRE z_?-S^4a`63m-+W(iHAoYk_iPgooZXDq|6_YTq1NB8{~76J0_QlKUE-a=cd}5-bQKZ znJ@wkQ&GdxjY4R>3=`!NU=Z^Fuf1E+-pbyv4%jWO$4|gr#4t}FZ3g=ANi2TK&=C6Q zvz?6_MtrRs_RSX`!&Zqr@Iz#DYsA?(q#S}7IRL-8$fyeA&>Pk1-%+t9ys*fg3x5C_ zaB2=JBMUUcW@3`;nhOLs;3$`eds4z{7V7LD+e0uGS^R>`zp-e0qG6t_$D=rpQ1mSB z5PAZF8j=Cbs#?yk!0k&_l+{Vf!(+_lRjS^^0}|H3$fRyVWY}rmt5Jf-!N&7cN=fa* z2?+_G3PfM}&-?ZPY9s$Lg!uDl6D_X1^(&HH>#=+;IC(jiGHDZ%(ay;?UF70soO#YP!nwa5JfDe)X{F%KUNiig;x;^SiF6p<9up z{59zKSP7GJa*t+WorW7@u`t%})PdQkRd^*A{Y(27T);57fcT6wJ;Cygy=e{~K35>g zwqL>B2owNhfZxuC4~N2B#8d$IWt^PecacD|He_Xp~zrJiZx*BoaU zMf$J|L*M;yK4187xt~h8Tw?LAvy>EAyi+UpV!v151#R(N!(HmesSi7X;;13xmYY|3 z=h_l$f|TrNmv{_WVuDhrJEbEgwK}(_;Mgskt^aZdq0*Z_0XvZ~su$7}&BoVbWuJM* zrQ%eG`28E8d~}{a%I-e6nwhr~>Hzl~XJA2Pz7}}Bbj{MBQ%%jU~Apa)$Vj(!Kzo~h1tT&jb0k+Gm^ z+wB=Af)?kB%eC%0%_i&aS}3C$c}=C4+-dn?x#g-uu!ultam#9WDtMLTzl)Juow;|6 z+~-1DTGOgl1j0^Lgc%>81|Fq4K`R=f*5A@N-?D9;Rv(hEBTW+3{Ko^I4;DGvHS`_J z0?ar5enUtLBR*!tY=0T%mSdw5UsbC6tbpW1Zr__XNf}Y%KAguXbKxx`XZZ3rITk8- zs5!ikDRM4rZnHIgCbXJ$OXGlPPWi91gEmD`bGC%;svD;~ZMEpKxP@%%iB$EJPFC$ zp9{m@q97J#2D@-WHz6x3(xF|c=odNhbVvN4*#5$Fdz}BRUYG`yu#CK#yxU1OzXiM6 zkP!cw&Bt~6W+`TtXzsHaa(|JQ-ymf4!s|LpNmPJC#=dZ-tvIM)YDIq|t7jcFl}@LW zH#;|6V5tHS(eHSQ-o*Qu0P>s;XwJNJ*4e)!ct0v!Ci32o$7Gl|M61ZI+pYi zUl{{sN(hMZ5VH$NpBK1(or16`!nHWW1!{fIth@4@aI^Kb93S-ct$L<&v|hqhKM@Y< z4?>eg$n$7U+tANZZH4$1FI%nI=f^Z90oxh)-?w-O%x=2BKGsnjt)~c$?#)ehzFH+` z;37@M&8|!1ImAHR@+~t6HC40r!;Kd}l2;!qt)#y5rh!WZo9QF^_FB%Y{K=yH>Zfl; zEOdLxAR@|bH&FAl^cJPwMA*cB5t;T{Z^nFPNxz=UQn%*-%!x%fb~_>BJGgrH_dP%6 z&u6>xHlDcLoZmH9k{*w@)goO_$hZywOwIq&uFbYxVB#v`FO2$y!~SshA^ym)W)NN< zsisLt>NCHbyfg{-=0ZZ64kIgVjj`vKcHK0v*eqhzeqy1Q2{&Z5%jkY9%*9DGrhRMH zn6{@(X|UM*>!|vriJsb)+GiBcfCW#i`=GLv`wHREomHoD_tc#*0R0w!?Nv22Mnytg zpHtmtRg?TC8lUtQrf)zP?tbGX}}dE!{LyqXu)q9pMHIN$8WF zJPHn!UQ2_pV(IhosGKf`@GD^_l=2+Lj}1oH1>{_EgnuQF)qdaYq>l3T1L9X|%nfl; z+nA4r$7I{KxfW+ziC{GZ1|3e}vNG<7_1?u`VjZ<7Ua;A_rKWs;Y3ro$e`;8)88=>h zRVyHRUTyeiasc@{rDKD?+~A&442On}IiioW414$#O8-`5XJ79^Ep0&bph<9lV-V=Y z5j&3E`u@=qu-+{Tb2-K1n~Dtsmx~5cRm5jserU6-1u4Nm#WGCO9EgG!?(DE>VG3q= z(Egyv)crMpW7f@D1dcjlYzEZ;bn|u(3D8sr^vLkb;ZsSiVc9T&N^S{hyfu;4D187` z48y4%_D{1PBD1zyFw)6>@4Khj0q2sRbQf*={^SCfKO_=%6oF0yxmqCg-W+9GmeQBJ z*j3<^!43hrTd&rH$ui^SWBSPX)>};AMAA-}HJ;xOdS6fiCPHG5>c-h=XtA_QwRz{j zou<#>3Oa>-sKL#|EZr0C@2%9$2Du15z@?bahCc>LjcwFJh|;B>+52}$@Z5-mgx&1w zmdYLbP?X`+#J3%t7X7(y9mGaD$BKccJv#*eJq(QPh0BPEV)bWueAuyyg5z!7is)W! zkyqP@0jPkG;hxdYaEEh&EbdpYkKU{0k;GI)uw`szq06x+1W|4vgA746JzvB@nC(5M ze6t-;ibd1fFp|}{YRlQ&B)eE<@Tcw9s4cbh9b#9wXh3XRL^JS{XD`0<0ysMj8SUeh zwFK=vaoO{FY^RF)f9}-*j3Etc9V`XX6~=WDl2}OY^BN3Edc1p43MZ4=L9t%vT4>rr z$&@!ea{&|EiKXLP+Uz88qkf&MVSAfk=I$vXt)M?QDxR1yx)>#i4=(75>meMJ7`dv&IlPeVW8fkve==Vp!gsY1K=%2=5ND zk(Id=P{)3lVh0o~OO|uh`$dT6?e!*6ekzgG$XI8hs<5QAf|H<J%%zHCqP>?buu$-Qg-&MS|gnJYl#ODbt#A!F5kt`ryDk!sV3DeS6y}I zezN~0)@(>+Cd5{9)r=b*P>KFummolyi@F=-_JBRj@jyKL-K!qEhJd4$)VDudowCA_ z%|6J-Gu5ELrG$*5n-%!$Ko<{(g_7^Ti0e74Hp%QFqgcrTEej{RDcoOi-OysTr>D?m z{skZpU$vGq>ScmJPVTeIWZ__B3*6BQss80wUJU#s8E%MiAvttdNwA3;Kgt9>=DX5n z$83>^`Yzi27$gZ9DzI2>jbz9^Lx0a2@c_e$mPidMGhNkWv}(S-dgfR0`aisJ%ZIFe z)2l^rTa7VXL8zx@DC=*rKqeWa(k--9#e9eU)(&FZBN?{Z$v?sSY_&LVOX+xwG(bvt4}!~594 zBzp;Tb-Z-;+L^SMkun2|LoF&oL}QozQ*D48HB0}K7M9yNMdc66S%QP9Yi8Ic)cdcRCh2omQRRgRge<80;zs(Y7$e3Xddbg6L(6;rFU{K>8?=^3)E`W^huCh&DzTnkhgmunTx@>`112Rh@^wEM*`B2Ys=l=8xX z`%fkec&!4IGi2pvs4foo*?naQt!-u_-2-^(MxNv3MDllWod=d3*|i5u0QoV0nD4&g zY}S?@J3kMXs4IfTe1YtNjD_hzKdlB`RaI31CNPDNpgG%<<9#iKdC!wUoqbk7YUs1xo0ezlfpc5L7Pz&2K?FJGSiwUX&sPOy1{&U(A@^arbXd4$g1Ny zpC8+5&5tNi+w-G(x>e1bv-Q6^8{kt*gJE2V5#AR_c!~%&^cQ>AJuUL{kf^L2( zW=;ddyc$Eyw3;#TqX+n73D@gKk~s;QjJGobEl=UJ*V4-shaDD$#Vw$g(wrD#x66V7 zKW%ysWE`LqP!IU1>^&15|Nd@fGbVh{Q)tL4=#H8e3`_rq_AydhBV1Te<-ko1_w;+{ z>mObkZ@40p`~CGgo-dLQY_%#+S|%41m-&w0bAN4%pwA!x=*yvk%1i*zCbs@;{7L9% z9Ahmy(~G^AVRY&Csz2~9OfDX!0l(z7AxTl=M>8n>esYClihYEFZN|HAi0l2b{p*FM zS5a=9^eQ4g^v@THZ=rD&h)<^XbBNX-R3=5oS=JhErzid%|t zI4AJmh0>+~rv7+LugcMj-J0}B1zhOX^eFS+@i2f}3X!_WR0q@JQ-`myeu8QGqCn%q z$*YV4`&Jgl2<(Mst^4WmWxgTqGQHU0hiA>dEVEtBWjEe@ik2+0vEV9Jg2~ea6S*L! zaEcDBvDj@j%{VTa&qbnBZPlT{rgR5yX$C!>=ab;;W8FPRzF)WzY%9tAd3OSsIhuwu z(2HfSe&Y;))4w+xcglo_KLD>AUi|C)T-4kKv-S_qEQ@YmH1iU8eWDjje{a`5(hA3+ zIHQ{&TAOOwo~b0WIK%^&QWA_V4=0@-hkiq)ew{|)K>fW^61E7-dVuB&>_U?G^R3Dj zi2#XoKi`25$CQzH^Cu4JpxgyTB#GanmYGGo$cl38s`;mp5m zftXLW%fv7T%`=;k7OXlNOe)Ji z$V>eJ(4XZ>VDTot&OH|$L3M0QZY8H+_8c5r+iM2T6dJt$>02bOKJW8Qw1N+Zb6t3F z!ui!XhaM-5h03X(igG9nL+^I&m|o4kYedewkeeiRmAXpzu0(;h_LH~@5MBD6W2#@B zeK01HbdHyxo$5yLF0z$;in<1eZyg@(p?yy7q zmU()*vY;Y>m9WwH6HH;LqYU-`JfOq zkerN5<@QqHyDeesfhqTQv4*_#i6MwWHbGYDO)D#B7OfDWjg6!1Jd-vOD4->DIdP+# zXOG{IqJl26eMo2FpGf9Vu-_$=te84UQ%0UUwe77j*{H z>CeA0xu{>{Kl6Wpt}PCFh$i#sRnh!;G7AqUUe~ex$j75^WP!l#++<|lzoP4}y^Pt4 zx37f{{myMUPeUU;i+Vg&9IVY~roHJ*k$@F(_UP*vc;=3G+n?gxXN49(b5R0B+YBAL zgdl}`B6%3y9Qlq4s1{Fr3$YvzLv}MLQb!x%ZvOF&Ke8c|ebb$nhTcEvB~Pert{wzi zq_E29IP?$<>0<2D2I#dt%FMv z?)M)x#Z>0OZn`y5+m>s)iIANC`N$tABNcM89*%ZhAh^2zyp`UP;u0*J_rq^Dip7Qn zQ+00ACgVD_9h_in7aCF{yVO>{&Am413NJbUdvRL}I2emHm-S!eVyq|$cY_bhE8qz& z#L?CfKWv@CjVU?GHI&+g)CHY6i-7}eeTic@JBnqI$?8P3nm$Jl$j#`q%*{Fq8q6j&fp)KP0PDgvNStOxGFsxd?oyD;PTM&YoqSb)dsj`(g}zGv2YES(W>SB zfzH&Ia&3-KEc9+U{}I}cbgzBS9RF7jW}?F}Ek^6Wkeo6EzsHMqxDTO%D*fut)hMm7 zB@BBFes0FC%8TpI958FQtv`P)2u(QS-jI<#62lbhxuEZm|9zZdvHD`Ay=7_ZWblg3 zMD&gq3;8$|H+xq#jSX#8nIUSaE!a5=sh92Mi@RP;M975S*W*f*+E<{jADwU6Ci>EC z(wNPm`Qtcrqr1v~R&oi!l_M{pX1v#7_)EtSF5pqK&L01Rzm>}Xy3$EA1V zfkR)$9*5@h37ja|Xt^C9epU8rf(%7dCU2^x(RH4;Wb^KyTA(oGwNFb|chYA1th-I| z%A7-oPN*AR`TLm7W9aN1cKvJwSBsRKi-LFDEqK2PawNI!*B(&uTMzp0d;at>h_~4Z zvr$6p;e0`YSmZj>rrvh1M4p^19Tsw`^oK7qie+%0eSF^iEgAJ>5bVlfc+TLK{3Qz1 z7C}Yvxv#J9`}~ONML5jwA|c@BTtj$@q0BI^a)ox%?BF#-)>Uc$cdPCAd6!@3+I|Jr zl1mnvFvS;L_(XR=O#LwV<>3+Cm)>+N#Fsgn3cTtOdp~d*MI4Gu|y~XCUJ`rTDWenMr{2( z^>`99lt8ws7c_(C9lb++Z|*_~{4hyeV?I+$s}yQF{?DZzD=Npm-$;w16p|!8PiI!B zF-_w`tCplLDHno!oV8T0{qJ)k_<-4gj6qqrp2HS(k=$1Ei|W)EflvZfU-YkV#K!6A zdJ~I4Me0H^n< zki*W>hs?Rnv7>5JLmQ!ndjCKuhWglL*K6zl3c+xT4>f6{`wEV<%>OcGwwcM8BuP2K z5te<$ct22I4Ix4IlD-ScyL`}tV=%npEASkWEgr+9oZVE?h4X?W-QUln+vra{2HtQC zq+}dkCbg4`mYt?>)vs%{kE|U7puv=I<{?>j)j34{seEr$6-)sLH|sp69^b;{2pUr9 zy7jt)RJW+#g&+-JjDZM@89Zlp+Dul)Lv$<(VaU0>CeAj_WhCgv4A zFo((y&`0ER{m%DsiA-0Ln+NQ#OAc{L8cm8*JuPT~hVN73nVdBG`UANCNfrR{jb6@W zFHY@wL#=}P2SWbT+C%({?Ii?Y=YPa4WpOLF)2W$seF0}ddg7}Du&Tp_fTT=tRY0`4 z88+{D<9XgJv_2Q151#UOr`Iclc<1P-wH07@|CYc}%Qtv?g}S11>dWKOTJCeY*c$^M z8#ikjLo&|Y%Z;j7k}|p$JF*oYVo;nSPA%9{UF?tOuWRQN;IkL6bf}WG zzvYuhKGKuN2e#n<&SI3hdsNLwzCo^HdKolGQ~t|U&i-s5kHk6lhtN4*YH{oM=LaVJ zcMo(O>$_##7MFuDqe%7V+Sng8yj#w41X#|f>gP(u#ULbk5H6KHcIqvVffPX_{1T@% z0AdBF5ngF-3fzi&8H2&R7xfen`f(mU!IyJ;l$Nr00(aoi<#K`=wX~CRl>A~y6NH{4 zFqo3b`JdUywp-PGBfkq&Cq7@zxtwET@6Xad3Oc;ZhCQzv-uCp^KOn|s{2{Yl5daet zQciDnfW`b9H((Xv2HfhqEgE7)Eh|(=N6KH(eCw^q^z-f_iHVR8BIpT`d2KCdvyrbC zdQ29KJCh7eWFCp0zM%P_iK zrCAU(V?cTRZ9wm0FSTU~>|0 z+RgiVS?VlrN0h_!S;9|@eA|@V;$!{|eR<~C@$<}n+B~mV-}8b5Zo97*=e{R-=DW+h z$X{0KCn#fMV-Xp4buW8rmQ7{eNz={MEOT{JUQUNm94je*K-7o?B{NJ8bKgDa*Gu-U zvt6i+>?Jb{b|2>BSSD;Oe1rpKl3&Ue{37Gi9o+;p3Y|@tz9ZbrZN{fw9G%_KD(Xcv zYW;pn^F{FvQua$xj4#aFzuz~KH+Kk~;+NVJNsnJT;_J4dl+>yu&+v6QfDf%=vOWHP zjF|C(2+2t)GedpUHN`JbYZPH@x*e71Q@(m*cX6L+2JaFk0K2gzV<; z2z!XreQ;`%idu0*h37|%C!%x5nBvC89U@H+pgOG7leLfZRR&HrJ=CtTX!8N}kgTDl zohXGIUyIA7q};;-_?QI_ILbAw$gK;1!SyiVs{+$*kH2^dJ|r@873)Re2JN*R>Uyd_ z$yZz)y09D$qq;WQmmix&_k;ikyqjG5fWhUs{;siiU3CwMC0poTFyL1%qg#(ij72uC zVnoKm>f<5|KI-~89fzN9d9%-r3zAFqJ61}$?D)gISkdQSX~y8!tv|`|mP6)S=am0g zXt}LZBXiGFj7{pZ!MfG#EBl-6=S>@hN=a|a%SHtp-8a2R#(*s-Hq>M=_%c6T** zSPO{JRQVsu`fK~w=G;Dga*Ei>@!2TXwVi2I+dXr5kX*yneK6<%<={D?A3ff-lI~5~ zm;Cp`)Qpdn$4g`Q@Bv_tLTaj3x#)Poe8G$AWtaoG9dj>*3CYQ|F0HTVBUuDBuQ^Y> z(j}Mm;I#un&P=M!*b;_T>Zj9^opvbXMhx=!6w!#e?2Pdk_;k3!#3cJY7{WWsR5&?x zTsG)keco9Wn@bXCng02?VEKSfHDirIiepisY%P~mEyaP7Khu_?*Kv2eDNEi=-zKMS z+`%EF>856jL$|nITNm!(n9jEEdBm|}64z2S94NDMZ8&9RZ{ukQxLT~c=zCXDDN69XD}l`TKNtyDLrv+}}3z}fHts6spG&AF^uS&bfB z6x=5bka{jKY4~+h3fLKa=mFsazhZ%Gnw1z9lB6a|6z(Sk!Vfd8R@G zQ>8_@TzC7l_+BZOLF};*H8UYkY%lg{eDO(qlXI(XRvDXv1~vOlSt_D!ao}VsXt-H$?46?#e0gO6&MAodnhB(q(^dPN z%=-k${dn#E%VmL=F|6W+*%!oDj50JF2g!xqks*x>l4`a(PQCh6ztT=A+KJ+B2(z z3&#J&&Sk7(QkIDiqdzm%EypeQK4KQNP$-+(^yVQk?g($Nm6_QyAoNQX)|`ui3opD| zPlkHYiIre8?cP&2ifjDn9Xo+q3+RPO1k!8Mf|@^&jrt@Nbnb!Hc6_}a7Vel_A(GFV z=DI>zx=rDNLjrPMq>QI+2eA$_F*$FGF(UVSi+6tUq3Hhy3(3^?pOXKN#P~jf;O73rpy@iaNfV%hC zbq~vcBh#X?Ohw1sUSyqx-7z_Tq8I@a+2qY>=0y?xkH;_`yzBH+_!z{iIE7WhcxCzr zF~B^bx2#$-$*Xm$I7rW^tl4yO&fn#3+5NunqWeO!fA;iRcI?y0wOlXVWFt0F;Jgu5 z;q$~#Qg5t_2slm%@FWvoTbOAq3R?6*=b`4&ZTCuuC1MY05i_RN!4HaERXHPF zldo{CJlI-j5LB?uxOW-Ff;uqW+^nAFcq>nIb5=LOa&eGp*UHKh9<&~eTsc;ffqJ0( z`btuy79+mQT|~rTOj9evAW&66=h4PD=~EZ>$}ix z^yP*y#(&=seAM*nEU(j8@?P?1GaNq-+gi2uWeze7=Ir>So~oy79*Y~-q;OO?JYJXo z8n-~7e^vNt_GnA{PSjW(#;$S@z>v$cTPDp@ZrD7{Mr%$8i3xg$lR{%fuB>jy1=my zb-?DpueteGr*Wa|g2ab+2CP*M=xr$@8GNuDnX}QKpmQQkB+PB8%wGMzzlKzbNtVKE znG(os5#OZnDSjT@*vny(g}i8vbWXr4JXFVLiH#6*@A68_dB}(ac2aj8BpH88KY@_twoN4qZk| zlLqUo=0qHKcO2PLTH0?uGRwtQ^8M5pW8=|L(IsM%sn+&ptqDp&t#+>V1b(-nG%)RP zu6_j%1{|S)eHJLVNYlTT+lzR*V`05xao`Aw=VEj)CE>*W*J`F!Evv-%y7tDY#ZBq9 z?h5pH<*RUUvR-zX_?2wKH2R==smU`!PsN2O^5kVlRLA!m0zvCaD2M9;esF8znXz6M z!;&gD-n1rkS6;>4jYOs%U9P| ztiE~MX}ZF198X!v3aL+I{(@wSQ*@&e8s4PCp4BZSnR-$YbQpm3)z&uC*_6C!*W#eZZxHX!VqvN`sVJ>*C~?f zeS4{E3vf(2N+Y=H*@>(T>o+i}38zNZ#X4%ahjLGa^AyRPF!ZR&S9tqOLz-<{x2L82 z_YYY?#2w~1rk?2@0((+ru-W{4&k8#_fM<9^LNnY=2(EMCM{G`&7iBf66IXo``*T;2AN-U^UoVzGNA9UTF!xX7=$dEw)hbB;i`BTVZWBL(r4pr(`?X`ozaaW{w^m|G~g)H%|K5$)`S7{kO6?^*7 z(+AU1mXEi3v1Iq3x2^K{{+wlBmo-cF3#>3&d}-r`{_on=s-xfb-z~))Z%e`YaiT^? z9^yge(mxMu)$#Xku?B~j+1T>i-#{Eao8n5`g8#Nlh+?$?aqd82?(f?N; z8%vyg>O!G3VdtwfKI15zFV}p-_hpA$#sGg;0vn_SZ!77fuMq6(cX4!%;1u6`-0-wg(-#on3F zZ0XLeTX)KQb5sOpRa78`F3N{^Bg?1DtHExs?#5wk^KR3r;x1Mh=mFe8dW%QUD&4Rs zX*+Y8cE&o8RM8AX>Mh)AX%}{I^lEia(U?4;(jzoIrn_Zeis#Qy$?!y)Rk!=D?8?#i=J5><nJuO(Rwgw!L` zgY`mptUGg6_a8V=h|9^zxvZw9#x(+Ww_jN7oD~rr=Y#Dmf8&#QVX}ClA;fw(tmmgU zaX8?37VSg>V2GapqdL7G`~_j5+=Y~V@~YzKV|~1q0!5Xh@Rmjk;^4M;VJytc`W`T_ zR0Bny_>j2bHnGSGXe(gq*b1vYjky8`u+7l^?%a+~+qJ{La)FXr6&f3AGCD-4QZ((a2aZ#6{=JT#Q=*bTdYC#x`qc zrA0cg@whIM1W0zJ#X8U!C2+1FQ}nu~AfLgC($62ETj-J1IV|yHNsKTzUyN3mZ2e~M znH1S$XqZu$A$i+s!@#PHqnkef@(LgR)uo(-yI(|lWCyw$8=s#Kd5|Pe%{iX>?1`kY z-&f8^9z2_T$0iVLxFy;7n)Pa%EYWdwG`e~gCtNFiLz_KZ?nRvq#cSz4)93-<*1^De z`)E#~+5$o}XTEx-!KGE7Q2$PuSoyOyl9h zUBb_AxaT&}QzsWH2J8L4Fo;(r%C#<7m3AOkzZ2C$TM}+28Ut_2s1$vmIm~D1rS0d9 zMTU-y5)u-`0;g&2jJDIuhwcy}l?ljQ#?8&m*AJ|8%*{LXU&P#&hjUVL5Kiz80c1sX zND1=W)MYgh&-uLAjU=)V-dB7K=Qb97erQv8|ILGA%i_IbaR1xo-VY1&$ZR!CWyD6m z{EqZ?^>Qr$U6`^=s*zuS2|9LugOojj;X7S`6Z%6xs0}xm9-r7a*hz?J;gRTe2g$5a zwph4r;^?JWn;4{sM^k=Xqm>?cuoDbn51lYrorM0P!a}n^VD*kl+=U{iv4uafL0FFA zB#b({9GAZXHy8P=TEf81>)!Mo{u0)mN1r@-vUi1;{IUQ`x+Fphf8`8p)WYhip5D%$ zK_^z%2{_Cbz&G}MXoQ3uD7jEL{}$VNfiLEhSe|*dHQ6-i+}1NcA7-*Udd?dhUlR2k zhg$~=3|g1F1aZBTmvHe6(^m$_kE=yGT0L?jVhY71$LuIYtLsi%4*MhK$_`Fm;VF%i zKgr&U{hgE7%QMSbzTH$`XltusTAa6s#OY{`t;d=d5-1vg(mhX|6<8+AWJ@Dq-}q)^1}Bj&5J3J zD&EN<@pEP$O$Z&b!mF#dfDOLTw^uNQDNd!Tf&Z!0p$A{ynkmS#OLyM7+Ux^^pwc1+ z>6mOUBkCEEUGM)(O$Wpug<4;k)KHYaw3!`F?walH z=^>yYu4tg^OW*qAqxSRLCz30n7`%7>s!v#0y?28{nOC^49?6ClDlBL=su5-WyT8#g zs|F^{XQDyTh;@20!YIbU}<>US7ZXDDK#CW=zxuid#2Ke7EnBk&!VtXj!X_IU>wH z`mgZlEd%-g2YY#DrU4JQzl>}>+UTyRo8)0hzFy*K{!a0M7g(g1*!%NK-x%_DwC9aY z+4~muMpb4+G9_ld&+xUcp=7)m>Iv~Eb*s@|iFvnrJah{GTsw8%fXxdMqg(4fPyY_E zC=VFWFj%yF_5U`k4OP_iq6!Aa5EYQ0%a8=lrO3=7C{oDWoax6reOPWj><$RIGeTdIO{lYAlLk0s7&>0q=lP<*EPD6|#|$(Rwq^d=~7b z*Ag)G!aI*cD?tz56hEs_17cO)f=z{2Km%VvPM$vK=6xokLTAfp?ZY*a!F-S1r-bG_H?B0pHWoq#gu!sC(OQ;K;P zzl7h6i6TOI1}-4O`>)K+0Cfn{=#x_I|WB>!szswzgkqUmY`92fJ?1I2nJI#CZ&!TwK^73BI zKj>0G6b(>v;M4gKgXfo3r`zneK|sg!>XCT`Di$g~8Ld9&bXC=dG}t@fh|+&WMGBS= zo%ZJx!Om}Pim#!(v+*w+()WrWsMF~j2S$|{moBAG*I$o09zQ1? zhCgrfgt=S0gI;G~I8rHVf0_*rw(_wf*s8n#fG1PpiKF)Eq4bgdfk|H!xfmH9A1;W} z&}ZT0WapH%tt0}8${Q(h7O7rAam0J@EJjdP;boEV6cpmk9fa%UrxnMXXne-?*{4wU zy=!Ln_{olF$L0kgs--KrFQ~es+R+eb% zJ1FMf#j5l%7OjH2pHx(Hn(8;8;QufEhUGWpo>yREnTUDCekQYZ&u;)jcNMfhRyauS z5%-6d?)Zdfj8eT`4T2W)D;5S{r_ZcT{|_>%Baq-`Hrq*Ap*>t_WhGMz;iEQQ}mUp}GrBD;#b*gl(h zqdRDNq1-X)hKis2aPQp{RoUChi)X7okE@V}55#oeO@I04$M0wu=} zysLRj%J!E2AfD2pe7P+U5L}OM9ZECi60?n=mwc*@(R#DUH%qq16rlI0{Sc!;DDEO% zD2HyXZO$#CV}75Y9?#(D6=ur_v{8~Us|2E&UA88gQz%|IWc{H>K!K<}TYHWYm%=*8 z&Na=%ZM5so+AU@0bZniRYo0aoj{1g0AEvc8zt_g@a)^;TASA83I8)|(=(UQP#+wRp z!+O29%vam`&2^;9RQ45d3zgr+7YgqC!pX-sHQ5vL*`BB@e zzmwC5`_;_;{Mn~rK1sI3*17r%h9VCk&g_#svC&eo*T3L_ZB^yN!L&ph$(b(Cn9qHj zI(17kg96TLl6 zkCu97KULdwPIuouVH@N#-CId28y>u0a3ZO4emZV@Zo&KP>B+dNz;0#Bs`q{^<5Syx z!|LNd*EZCDUB|h2Eift3QoFreQmwfu+u&reeCNDi^TtD!Ot&C_`fB)g0E9RM_fu*p zeJvXo6Ru4q+6GLSjeWMB*hW^bg5LHX-~1rEms8y&LP&Wu&epz-UMp;OsN{afFP z9G(K{T4;q62a}tH;CQA>-6+hO0B37pD=t?^6QYmytH0L6KP4?w@kPG8`wR=0QNK;t zx_rMRnFf)HYa=fWB;9OnC^xC+wUUFY`Htn`uR!vO-M_is%UL&2|bzdV+ zhPTA~ZTE(2)AVI#4B6eIg8B18&tr;)k_5d2XUKYPQ-#MoV{*T|o31DgWU? zp=xn%xJUUttJ-92u|lB_zgP3Fp2xDXWh2|<$nPf%p<3zJsW5GZbsj`;s6UTI6Q7rj z9GkG@)F!t{%3Qc@<|*));&?0It@S>i7nj7u6efb7v==zm>ufwM>Nk=X!MTN!z($o| z?!S|uod}SBvNNhwJx*}@())YnTdvgvDWEO(^ME(|^9g~L8DOpOy*<7!XzsP?E|@GF z3*&QZ<0KB$EpDVKd{=jK|H>W6pr=IBb>fohCLSnK@`v-FI^BI{kHB^I_Y>$!RX~@&C{Q*y=0r@6LgI!56~umI$rwH*Q*y3&1q{hV zfwGM7vr)JYi)X`!Q%f=?`9fS7Jpvu=`Q}al4h+(o0z>PeWVqCzJ?HjlaI+8CXUgk+ z8dKGy+H)_@&9Ut43!YmQQej4qxiV(q7qxI-t-Xbo8)P-fNL!38R~9Wda((u*?z7Tr z%{it^j|Ey3A@x-{aP7p$v&@7(;eb4$oBf_x0a7q zXbt8uVb0HUg*_a&`6!x|2!75cq>vep*@6c%Z-2~6pZeLu{iFj2E~`$w+)jd)G9y2~ zvIsZ$DvZf~@kwLJr#mEhvTY?M0eeBhY8VK*jCO=>p_}R-`AUkuiw%XWAN&>G9cPv; z7s|@YvdLY5GIPH+)zp16SBx^eu)|5!{T)BS)Psnkm^;@t=t1%moTG4vhLEpE@(>Ux zKzUvp*1;35u5p>!j||b1DA1Db*Cu9)0`*WVs;w`!{6fH6QG%7f*hUBl^%Wt(eSm4D zDtmR96L0yC8@Q`cfNUz8UghW$#?tqZQpcd(V%wQ7c85I-_wAvg=DZ`Ro0c~R+k}Bx z*RPbwXb-b_fXF(d*zvJI;SWJh(WIjjSR)y9Xpi++ew61W-2$;J(%;N;k2`R^0H7$(hz}X`;u&{kB zAt9kn1u^!x4_;spIHMUjQ`YN48G{Q-f|sWb+M$oNe*I_A2>;z`6YTytu>8}+ zn%_hL#-DGcLB2G2q3-e>7R=DE5x@Oif4+}?ud!mcC{Pu}!K;2Ipb#VhycFfZO5Y$; zpjO!;O^xj~{GR6aQw;Qc>k&wPqIrO=HVqxrV?%UM&Y_v7AR{#$TWKGob_h5sMPA=E z&ioczxnnLzd13J!=9 zxw&`_^rvXs97dOVHpQxeJ3kU-U~vIm8Hp&5!USjx6%K$iN+t%*QbM}INhaTm#r##Q~^Y*u5pD4=F1AEnTHhhX+qizW2$WR?2SdP z-Oj+T!I9QcY#>4$l_mBRo=8Nf*R7Po=>s;{=tcp^7f4o*H7D;XBzF`$@_Yxv5RMj@ ztA{RX)q?9kl|$=-@}AvF$CxT**EHs3y=!#;O2iJwt?cF0+R#q8qsi0nQtHvJnmYCe zgJ2xg8pw_2=eP*AY|I<(IsQ{5sPgRZL;}-A`;rej!RW}wGoTKu9y@F=BKHQ6;q_R{ zy=^xEcu1Vx+_L9{1K#MTy;_1k^`b&%tV-scI$;Dq<@h#=;)I<|Ex<%{l|x^ISlB;q zW`0=Ay2uqMaDDZQ+`5Nmkun5Ky*Zk&`&D4ttDsT!{eg)XaNni}^zc6usJoFS>~^8y zrM|1l;<36VE{`38ighn?2_u9=^#A(wv_@(UPxg*ETjTk*B6u@Vw7%ncm>TX85|2Yq zn@Ow}Hd|EaG9BEZ`UIJY&yv8lcpp)9qHBam_FP#9Ggm1`EkfIBQPyD$kd#iJI(TP#m5xA1>>b&80diRO+@y#?%djbS6YSG5aPbPz=V?$Dba4`=a@_Hl^f4^yTpI z@Eu7y-EvgfKUcNjh`=eM4f>Xke2Oh5z|V{v62zW7`v}*z+&`G(72SJ?JgLCgS10$J z??Yqqs}{hINH2a5501iH3Z!ua>KQmQa|lBN?d5$Rvx1)cKG3*&^s|*hj@opa zHjCP%i5yu9kkDthwVYX5P+kuhur%Ygojp$Eky1$H*^+8lqOCSk6A<4_Z&0uG+QvW9QxR;h)D+AshOzGQi-PaGd!Qbhr;@sGQ0u|0Qj)Ebqz3bYhE zzur8ymlC5scW(z5GA_lFPvJ(54A8t9f{994t)2jg!n%44Ix-k>Au58QJ;DazKY+1=Q1<;N0`Er|; zDkkO<9)dfNF?V!y_^PG41BcW^Ld90H;!925iu#RpfDa=>CYFPH?4(1^|!b0Y{ji&939h(93C|cW_#!e z)kA#)*bsi@Rye8Tb1bB=tKN61>Tw&#s4p*z$mRZ+97r z?c#W1zC%4HYZr{@4Xp$4Wm~x_q~{)0o|$X7!*HfPRs)&(#fN`lalPOZbe5|V0k=Z% zN(Nm?|GB|*0WsD=&(YuN_WEHZ8wJ}NAJYE>A0c~C0AySDDIV-@nJ|V(ht^juPUTdT z#eD}!cs8j~nzdQu*+g`|#8ztYo&QQU#bmMg_0DdbEJzYa7GhjCFzI?23N?6O3{Sv6 z9O+|mE1(_3DKGD1bmWT`jH1SjmX%)EcidGJzk-aGU7XiOv^t@4bWr*VkP4VLClji^ zHMj>JSl=%kz^%HI&;1e>^!UKQ(16QC3rQeRmW1J9Sa5!KoNd3eJa#J%B#3_r;%_=%j+R={owy6J)H*(_|H(Z=$oYt&rLMnQTDIVBKM2}0X znaF1ytDVraBDv5fql9pDCUcmk@sb2cJ-6mwCARKgM!8g z6u59-W7W-BIT$*zI_Hr-+Fh4%&w#!*`n7J*J$CAOS84L~k3)+`T17=gllC0qAX5Tf ztS71uVm8tlP_671wQH?7D;t~w+hEr-a8f`L(Tt`a0wQqjIk>Ln5tBf&)^H#XwgJUV zBVdx0F5QvJX2J}AnfH!neEicCy=*2?>{w@@8hJIigeVVz_yhdK?-L3Z9Sn1nAP*WN3CW7r5ie_rpA2OGE6-ROTmB+ zoFp`Lk>%nZ+|+>89Es;@Vo1atg|xKi)WOzYTE{vl_2rNE7Vof&#;?NV^w-ORt-%?Y z;+7%yDeCpLTY0vB90;j54ZqDAo{Cj3=+c3Qrg&i`>)?mBQ!s1@%wBtZO0YNnw&x>Q zOUd4^MztgmS9*Ev1+u+SyozpWv$bM$ziP7LETYyXZGa}!*ne>;2z6|j&o;cXEsl@ zjh}#vd9QID?ll&APNzU@JhgFmi5IsE8VF3SBS2tk2hOScdA9Ix9{XVOONCs@)8%dc_!HF6Xb#cA zKwVbawLi)Zm(}2}&ve1m9mroh;YY>dzZDAuJxN2_nk)Y0cR&7f1}2(x^KQ3-7Xkmf zAG=5;0Qbt=x@J5W`tf7X-D))`!I*h=@&85ee=34+`=n@V?%u5CXrY0B40QJH&epO! F`wxiyR#5-| literal 181128 zcmeEP30zFuAE$pPTN|>Al(jMYG)U2+6@{cCEu%)uq(#YEmZwr4QT8SKo@lX^JRyWi z5>b(TU$Ug-|GP8yOm}9AP$}y9^qM>O-gECczvcT|Pw==gquaIa+PYb@X6=j&^(>k- zleTTvOe$TbB^*(V@a@>FdDtx7E$4PJwl}x2+kl7k!+61zu8f_$<3co0tBnpdcEjZua znd5=q(2N(rb#t>N&ZVZOS zC>LW3$_!)A8I#AtkfLLwCvf*4?Pc%jV#aggxWQvM`3oMH!k{w*H+7ngA0abYf+Nme zXdrxC?7(<#0RH^wpfA^nHuwfFME)|F32_@U`M zH~j7J1D-$`B4=O+#yi@(NgkcVb@Fk+&!sTgf``FQH{@`gUGSkbsrc);+oO|l8t`_p z2Qm{}&p$+5L%}?v+4SP^;C6&1CVq`ha`)HexM7oxrV$?pDDTIw70!m2kWYT)b~xqE zvUl@Elb;Lqti4;K0J494zL>`rl}+|obo{W z`EZcbz|Q(M`ByT*Xb#Vv!!))YL73xRjp_%VB2W;hFP zjjel4_zKR!+49?uk7+tF?xhKyhrlxSPEKBST)|-i(ZMuftU;hGQR?yFc>n>90+s59 z2nRnTwr1Frb3xLL#F^2Z>*R#3d6k4LO6o+~6+ED)ecdi8E>Z9$rBQGxOIT7mzRJWE zrToqnrAw?R57|nRDb|avN3TC zGTz6Y>%qYkjNo%k)X(o$GxZ`|*nsBAbQYUIqf(eO7QPHfd(i15I$fZT`M>e63ACh1 zqEjhk29-&pFj$&w1bIaS5u2pRVz5|D8k@zSFyLIloBb9#!^bA*6pj;sUL4o(ynI}E z&O8r$H~q?^qeSI@2Re0*l(2*=*nhX;oU^a!}pYqk|meEbiSu@8KB z!T+d-sc(!j1)s3#7kqLWiQ#zoh^sMIKamb-`3z&0uV2b8}QsL;#~miR)HpJa_`C!#__mdybAG+CK<;Hh(c+&azrC} z^L)J=IrvpIgD!%>(2y|U_86c-H}u3F01V`sp)%2Xx%=1j@xKqSMDGme3m&v4vq{tC zV>2OI{Ps2Y*?frhy)z|{91cxH#JE6NW9t2v^gh!1Lc7P&%@u=)LM$Nsf^bYQYVF|z zH7ZT;1H6VHr6Vvcrdme*B&ZZKkl1i1?6DDmg&__2KVt0tSEwiO-vH-P$%x$%s3mA1 zI+cX{4n(a(#SJ0E$MtH^-~g+M4KPPX4Q;C?HUJTi8OK67E!27lnd4l*VB@siz_|c- z6IQ_mo>giBH-P9Gh==($AUIQ~0@sPS6^LYf?W+|pZ=WAjD?$wfuhpnj4TV-iT_ffa zs}IXWjOLk0o)c-FMxx_@15phYH9=?o7RgV+Juy`feT_+#R*mOF1Gt7Cun+__82rEW z`M>G>-w@h>kjE(g-vI34WcUbi5Qu$1yaRsI&}qVG2%OBnq!LE`AY`gJ3L-jcG3y3L z)?kJ(MyWCM;0R_p2|Pf2qpGI9y&4%kwdiDJ&;W4|tYT4@D-*?%2$V{x%0Y(-Hw@eS z9y-Mzx(YfK;^?s+9770DP|5lpju71PLNXVu3E??{S0I?vqQ>%H6&I)vvB(lb#7s%| z9za1Y+~z%xv=S^F1({G;yaJg~TTQ;J$Q%IH5t99$$Cj8vkB_ zA{oR%^5Pfcp5r)PTu&EtGg09qfL`B-eCpw81DH@2;xvNvs_q6bOjGm|RI;dx5u9K` zw?`w0e$v>IyhA}Q1S04B*61fh#Q8XYARU{+pF-PLT;b7~RT#fGk|HiCTIS!Q@Nkh% zSW+Z@n&O}J8ZD>OB*}$Z1wQ)S@lRy#ickz@9T1?kPg4LCmz1@bF!Rj-C`}XMn}1dS z6lISP=<4r|fFjOotRYYuODvw=xI&=9#|Ok+qr^8sAe0}aY>**P1Uy6}xxmb*u?Mia z+$RJgga%Ag43v<#Npvt`(IkEv5aIjU&jkG)9v>PL_ofgW0u3<+N|6lzG&y}xp02pl zho;GBj7}d+7Gof_3R%}6oIX?r7-CIsAIK~Fo08s{jUwqCg((TV)8zK4*6qVWb_-GK zH8i&mCJU<(hfQvudUg9SHSrWI0=YEAw0COtQIlV$7>jx=l=#&gNGudRHg&4~iC$SEiCR<%WZ&rRv;p@%T6s z`JV|&s3E!sQOyQ{51QPA)wu_m(A9;nmI;g65R<@=iA7jcNjTvlUerjal&oZV%pw32 z2H6&Q#k!U(Fltq!rY&IRs8}^2ZMjx?CN&YcHtt7{v>%%X>1V5`LT!Vd{40k4z z=-?z2e6B3RgfI0lvjBSv>`W{N4O%S-D~JVeRYl?dufqhw5+gqr(eyya>Zjh4PN$I= zY=$r$5x1&wi;2b{lc1`%@<%W&DCOpt1CbK-lR_^L{s2_eiUtiSC~a-1<)7k@5A!FO zu|EdfNXC4Ah5z~0&=}KdK*B2-YaGKrB4Aqv1_}iA($!T@L)Eo750kgz5Jq7sJ6)Fg=~XZ|#g*JB+tauC&$BCKmhfQCxYQ$V0cah-{AR}&+! z5Sqpn4joG7_&^LPoVs8HXbd622y_ayaWVq(!%4P7`(+3BBzoUt@g?<|G2(U zbh4xkft(RUfC-tf;x40lt(`}MHxki_(}}pb(Ih&>F$7Z_tJjz4WHwd|QHQa?Ceew~ zNUQ3%AmLMER1rD1;3qQswz~%Qdo%bznVGh zDh-B7ctbMGX(zN?_?|SZ4;pU0qwgwxZrGPXr&|^JlgZT8g{!Ky!HI3nC^+o=!`yKU zO`L{sbA*N*u61XQh!07n-5Pw}|Xa5Ypzw2T}2)qC9e z&6q_Q7mga}mB$&JkZHu#Ywc7TN z)2yP7X9*UleXe6_;?WFux*i7SRJBeb43ue&Q!$l^n-qjNc2(W4P(D8*_WJcPRuQLUL60=d zA4;RKVH%x)H+7*g8D#&`Mv}4BW;HFipkp-ZK8Y_l4YivhEI8^mCE{1cmDq1ta72Lw zUgqL^4-qWgzmkE)on2LI7y#Rs@MRrwIZ>j$h@34qIH>*^Xn!-4AENq-o?)nwyyjEim9v`sL@h=FS#;Ifgc2p^Kc z!2Q!`$c7DY#UEcdV}A<3rB@$d@)U6aqvp$!4Vp0`s-*_aR8L6b0(L}$!CzJHgGOZl zN~^AKpJ?}519T!Rndmpw7ll`UDohG`Br)S#5;!!lwz$~K6TEicmwvU_E>}|%XM0=> zFmbXY;6((_hyVlic|*dYUJV3#9Y)fc>M}uS@c&g^(I5_B=nN`}S($>&X5)qgfqoiX zjV9U$Nd(Z;QY5yCetwL8XjoluW22uR3+v!XNKW?NF8nPyu}}>G9b?DG+515G0=6Fq z*iNvE63XtJga>P}BaXgavxL`6KxP92G6R~W{XLLbn6ZQu7ZNi_CDlG;hTh${t&K1< zie;cN8#ZRvMBVi8$ETy_bU%ifE7gP823l;f063G5djfv!{tdT#9NN%AL~)3r%^F(5 z)x>M@nkj+t_vcqI{f;z5IassL! zttBD-Yss2F$_alB0udu_sHv`VF^`BDCvJ%$8wGJo2KfZ@Mu^z{elcIu`k(KM`9EBy zGwVU7i;=y=kd1=uaTVVvWx9mZNSGh|w@CYH)8Y`Wr~@b?wkMo06Aum9D9FC5VC2s^ zJ{kb1|Le(~&cekQqQSZ+dx;?%1=&{>Xl!s~UsI6t*ONV~?hCTS^YtW#Y!qZ)dv%93 z^e#4$X5EvfIQC(RTe^*cG=D1eN{F_K^{|sDw)tC-Fold_m&m`3{M~hj2_(j3l54A| zras+zbzSfE_mCipKO;w2-8&&DWU(=6Xs>2O_KiXcR#E))3o#afUx4T#{(DGJObSxP z%lvBJGWtVEuy(_IO(aMq*QX*DlY%T7Zs|5ADagbF^0nlPZ6ZM-2{KTk6C#JYkb>Wn zyp2H-qcr#?5`+v3eKqn(Ja=4>B3RvTEVEoJbx=YnN=T%-AVD!H$PhPy8U+c~ZbV8_ z3JQ)8>Ql&MyxEgTyXI;#YW@}^Dc+@pf_f#_r3ghCCOC4SvTI9=qZHpd?fyg|i#@+^ z&i<5qVI)tQ)Wa{T2TidqOf=LRw=TI$44_Di*(kI_?MAR9wZq>c-^D4AUiS@iCETSF zV>Sv3tm|OX-%5cj@g^U2fsn;ypv0Jsf�G841cgJsWT(J=SVnvXGuwH1AMZ@-D2H zucnY5UbL}R$5+eWwOIwv7A^LmqP|&vcmXCn1ZsWXV9MZ8A14uX*+kbIK`lmu@A~jN z=$Z}<^7w(G22IyhMYo#hx{0nqS!0`t0R?M{$!lg6eY6^$ysj!_CZKC4?kspY)RqQx z^-N!$4^+6hai;rJenL7N;vPbM&%d(9s$JBVhbInB=eoHe_a1%-Jqdq&T4U;X2}YBs zDyN~McY?^nL?D*NNmS*iEEstd>b0W{OEQ#&3u;0+jig5V3zw75z`3YFFQ;U26czR{ z6;IJ^BUCyWwkj6PFdluO!EU@{;(oih+W|eqPrFL$Cm2IPyJDhbJT!?v z7K}j;D;ePxAArTe6DezH04ho*MR_!}eSg#_q;kCl>;GfV&(Bm9#MNpNF|WtOR#sI- zUQKpaligKmcY&zIH6fA`sEB}|y&Ih2#gYty@f%V@=lD^Sro94io0=^qKWRvQ5|wK1Q$;Ntpk}2LWnOb(2(Ng0zWOYk@uiZ^G-FzXABv>e~clIi*iC@fY9FI@0L~j zj_5|XH=4M;1AB`JsJbEBI|v;SmR9i41km01>>VoFMU^=9cu1_l*gMD{`mL$|NqYxj zIswMRHtG9Oj907X4kEHY9|}@w%zB1`sB<%}I^h8X{M(qIAnIsVLr(ZE6eL>yY@GB3 ztiXi4{~u)q!F*N`_9B`)e-VjOAuLs|7QL9Y1DTVJki;cpAzqxB5~}tmBKWX}DP0fy z4+tBj**HS{mVuvXu>{KZsk)dV_zH>*0(! z&)^&U(Nr(czfbmQx1&A2?nu^VFiZlT8sdAE;Gj=-{UiwLbz0NL1FVw-arX zRa-I-vv@IgXT6!ff2zb2Gv=tMrVvp{-J8GIhCO_X3Juw~%wH-MHy8gD^H)Q6>u9609)9T_SQA_jV(4uU|P_gQ!#EGk}>@B*CHJ9&zf? zvEMWGbr8{Z&H;0;GL25$0%lTh8Aw20)P`mOvn6X(1XN83JrMlg z-}U;{zXkkAq4TR4Q^0R-m@h7dfA;PC_l!R2)R_F zBg6~gG{L*TLE-}lF45(GD|kqFVBt9+g@kVn2L&e!FM%W2B)jpamTFK{e;Nlb;oMiA z*w72x1w2zln7I}sWhgNXF*F@Vumpytpy(=*qfuW|gaGT8qa~|tG$ag#p9q|awI=x0 zm}!00cA&iKZ>%9|WKCSc-|FdQOGZ#6R`3T*y&B+0;Rc*2_nkt5J%L0#VJxtSf7B^l zEtCFXXp|1+$eQByTWpv^7PbCLIV83(M|cE@p`UhpVF(5IRku#lf>~mmN<&uEk6DH2 zStSaf#qTfNM!UA|kGjp`lIADx&v!94$ogayt`vca7;ybX2Nb3W_ze^$gL98e`d$S> zRnn|Zc9#FcWR&*b z$7*a_QLD49@S98AS<(a$H57<#FGatp{%Jw3R&%N+dRqxpBZ*6Eo}=f*0qfG<0n66| zGm19KlgIV&@x}rMj8Rz93FQ0v`tSr6C|FOBm?sjX#_??x-MEOUeb^fj!rH=K{M90z z+B8QPIJ8GtR?@$K`f?MYEZQUk!GLKLq;~yes^PBofSTwS6d%i^6{*BEg8S^$!?7$e`iZwTq^?)LAhvs#h1NH#}sC z;O!pPBPBO)^K;@aAW6>PEiK23bc#sDjX1>XcZZ}P;~k|$m~z)wRi9)jd+Z~=Y_ z{l_Bw-|Ofhs{SBING7w{{JIB`je~I9!#{?%>=0OF#0DPKs;fUtnz2wDQe2ub>OPn+ zJ|s;%gT66HGluziyRqY(tXLCW&FC%xvo$z$OARVYOCX3iWXgaALhu`)7!Zb*h%q91 zHFk_H&x<3x6GSh5;a0VL8!&nZ#+8_NL=-Ak1ttmo8u&<(A1>2_${Vo)BN5Am&)S%u zQ4((oypM3)9i)Z8G{P(&qN&9H%!9T|gzud=ZXDugjuV&o(UIrj!Eq!WnZb2-78qW9 z|Ba-N2FG+zUnL^qsbq-=&d;ZHQR%orgflNylR-i;d!pb+WJ$!l6cnueEml%B>?2tO zho}`JjvA4Db)En(Zy!4@x|@WhE=IQv$h5*40yC|MW_f*(7Y)JsO7ap6OQ0>35+Xuc zI8`GIEfKOjvs4W+5&;I;|lseGOuZ zK3-gV557FFvhbClq`WZ2A?Vi!`KcIBdidCLJ+NY0z7LMbqgCKhTxtDoxDXk|#Rm#c=~6G7IzD1AXGTvsKY( zO&+1z_%C#^Br%vQI+Y3C7#Nz!uoEhCI*CoAu{1R`DQt{s#8{>Fy;$FQ9u_SC`9v9r?LF+yqjj#bNyq1_=X!O{}vZf$s&?Y5Iy^4G>?FM&8+I` zYyw9&R}q_lV8{xie1b= zas+TzRg9nkI*QTO^L0UE7U1YIzsww543>*H6a}}eF{KHhBJ$c*pPyfIimWQ-M$|z5-K0fD z_MTAEvxFWD0(qhR)`{fR5HW;guo=rUz-ln;cmkb8aAy2^nn^8egYmlwfF`~d9g_+v zRea-xu>L3&g}DA0hQK1H4N1II72OqRof@n6#;)CKLn;4dQ&tIxM13YgydtVdgom48 z42XcL{#9dDfM^l1B5*JuR6UYTlB!@0vP~M2-1+sIO-!3&{;I}nR*0u~){#B%U6j_4 zLcC&m$^wO1w+epnBLVF*PY!ck_EMbm9a!{i+M^Ofo*y=;s zZId^mhRYAV+#B!m19ZU9gV^%>IW?_*4Od^YF|WR4sL2V69_=u&*+c*}BLF(NF%y7f ztOGMQnh1dJF{&8>&>A%XNJb+B3V~owN~S3iQXxe{MUt6Jm=%b^Rp9jyHv18Ekr2NT zjQ{7S1JjYm1Njz_Re_v_wVw+t(fvq#$i|yViR$WjhH+oz3({oA_&?B6YQ;??(5bsOh<7OM01D+362%CEg{jXg7zvx zbkYE_NYMT*1bGDQgxuWfq?^E>;I5@XXo=74$f<@^MB?&*u>3UqkjV1GcH&~SsSSVv zkgJbC0l%)1CUKp7T%blpP(Ffh*i0rt?t@5$G!uF@{pJd30iXUv5;0-1kvJeOHab>6 zwI>oFOLAyb4XwUDicn6@G4C*1W*0HD9Ebn@H9!&teccry<| ze-JV>FB8#zG{`OZ3GFB8=MXK&6wufA9TPR_A0ps@pU{r=qxNVt(Ox?^zanTxhPEMs z*+BGM`)ZIz7ax>OB2yTZ$ys8EuVJe}8dEeGfr{kFj|qCDvL)1DE#1G-DH6#5hJ+6B zcCq)w`snbW0oV6>ch!dqp`kn!1SzVpa;n-vi;7baV!4K(LU3d3nY~mMNCV6#{+(=EewAPTWtv&Z;7-~#=9{S+b9dJcfva>FkzMX-x59x zR{b|?Spu3v_9hX{p=0%=jKxeSDynoqh44)F~PY=lzX_R0TXpX`13uvCxVD- zw6VktUw2OeAQA^i;FKVITT`+66Rw7aB`je(Q8tq)?Efp~9Dug=ECiE~EUSH3BShH@C{dSxQm+nRU`5-W7vvl3kx8!&}y!{Osqq- zxGny(&gSpKWmKUmkWSwO)_i&PZQ)aioVLdB9JG_=O7@9hM0CqCew8Z zgkQ&)lObwN35kw?D;r7LCA|g#LCH9OwP6qmfh4vr-w#v#1ePMqI`TjLzTktnK%%4k zEhHUk-^vyjNOTl1MME}Xfg~9)Z6pFoOcU3$Ktk~w0&6rDfmF+JSujd5Ym>iqHwKI< zgECCECjPn7JZbak9V-C-%FF1bHe8 zkB;F4MHQ!%+82G|8Ez6oHe%6-2Yd)L-6Z;;9;0%b!k;jBuvjWc2H1sAARiE=l365V zZ8QMs#}B%B@p$mE`f6mX&wAxQ6u$Ga_jEDi!B*iS=kULE;@UfV*}LOk;1^8iVmpLm zw;LoS1M`Q+%JX843F?3K;$;Qh0@u-;Lz4P`QN4cHPCG-ng_QioUd^SW zO24!X?M=ANxQ>BGuMRaiAJ%PBHe7Rh#6Vbdf4n)bZRKrIMpdc&#(uQU;!dg?GJ2FS(Mn ztZ#9*5tmn7i|HU`E+s>{B1^n=hmFmGOv<}2FIc6$#hxN9Z@cz?gvpV2A;T44yfxvR zxl2>!bv*6c6Q^%$ii}EP(X~Q2=Y5w>x-eO6!do^AY^k~sZv2lboa1cOwz;(OBatQO zx|~0Fc+=oNHtj0T(mf}?;pLX)yx(xJ{PI{<)ZG&cvI08DkZ$fdwRc&;{jfn~X(@)1 z%h6+dZ_H&Vk0OvpGpS%Dm-qj%yv=r*q;JPuuhWMsddwTUz|U~(O2(b}W#tdO?;n0Y{Y+=6Kq(-<0b9p+ zQLN-GotZLgpG11M^j$^!^tAuud#Cm0kC=Dl)W^#QcRatn->O}Ql+@M{lh#BBU2e4{ zB#%DUDI!kqV*b-nVUb{U{-QxYflZEd1bmQ=S=O8 zJ7@gLb~!G?!VSa}m zUfjQb*SyrY}E z6FaBqeeK_IuVSZZw;5{b6H`)egn8(D*$;9Daq<=uJ6(uk=gnFjI`>ep=iWyyPXP!*FPyssB#?z>6jg;lEYS*NbJBF%Y`ughjL9;jgnI$|+jgPqMQ3PCZ)@;| ztT52PlXBl^Ld@(3VXPe{QKWw9_A^a;nVwR;e#a}(Y!_G7a$BB`m^OU+iaJv)2K z*^Ge(X9g^}Wb3S&xLR@1l}@UvQeXNeb~aZVI$t$u@pijoV|-4UYwjf(c`KEl$rvsj zsQ@x~pok1EkdBqNb%TLjRwa&KyidW_bQseno^IVk|<8Lv;#QerJW{}BF4SjWM^@B3Xs$-U1nEKSp zn3UV=x(6gwP0nQZMzUhd=tZ! zXO8;~kqsE;y(N5N@r*%xz5mG}2Z>-X;dhAkKG%Z-Ri&@LI3 zG-D{qGI^cH(JLEw^|C2HknA$BB%hBmRl^xehwTNV%>+(u|vDpb{`$zoHTwtZ(e#%P7Cb~ z3x+%&^6Iqb=>uQgdiu3!Svb?+%W8+~L8BL6d-o}Frp@^i#}9InWGYS;Uo%Uh&Tn&v zS8Da>w8cy2SIYU#)9v(cPr17Nl$OSYc(Z!31s zAL97w<>CT|zbBMqZeIQ`L}Z z9H`S%cCO#qHYt%?@1B@6q_csl?K{nKgQLegrKs^_y%lV`x?8gD`NRLOJqFFqXV&ZE zq(8b2&3%Uy+z0=qbnPBI@4F}aK5&g>h~&d zaQdEGei}iSKRnit=UrM7TiCVZhELH_L7~bXXO>CJswDQ6iQ0uQ`o+jIQFg%xO186O z--Wmrt$80G+1~ZQ>VqRcv=3Ykkaut^JEs)2?N29qC&-W(+aH|W2+%k!csp4M*X)7M zWQWHVDjB~N?AEih1+w4Co;M1$SZPSZ} zP?E2!uGww2!SY?1tdhF)>~W?Ur}}R<2%AwTofTDXp>(r-7wV3Qi%pL8h`7a^apB@h zRSw{Ql*19>H}|TYO}&;o>GnYBs8h4s&e^X9I!}Z03~3yYvXit+!n@CV>FV{w?`CAT z@LWySEXV%Rwn}d%dIpDkSCoB`s_QwEhB%)lI|TXmw#697_G0+ah!3S{hcvZ zr-E-=7>)BP)RtCO?!f(@R4#^}BDGHohfoQ8D|c$Z?z|I*^Ya$WJMRBvsq9C+EcrJZ zbZ!TkbPtPJ>kvI=`5wxgk1td*Q)RkjEO4D;FfHRr#3sLclTY|ORrGP}V|Mn7Bi+j& zG3lus^`hQF?P@ck|NlBeP%}-LAv^SP_v*m zN>WmGN*6a2IK+3@`_f=FC1cQuc25fy$&pU(G+d&fG5wDDu`oce(eGQPsI42TXyhGH zXuQ81i0kbIWh;c<7CM3e2@lM)^}wiUwG?Me#a_^~ITzH@v-3CfSDIwxZBncho;lLf zX!Nnu`B~NyuV^dj5I=?7hndv|8M89EsC?f)wUlhr{bOP_?4JdYJ%puOSiLgX_71y@PRq!I8y$aoWKzw$~2Rjhd9sA3nc*pua-(hN9NJH<30TD^nJ=3N?swd7684 zx9#kQYcfyz@iJ7~0NbmtiJt0ly4bP{)ya@H?WN7v3?iwsw4hM4iU9>X+hFQW4Q83lR(Zp~oFeJc_)X!K-52V#lm-;n z(O`Lu!xg%D-?-MK+hcq-tSTRqsyOfI&8=@D`-aWP&@eHWFyK?qAd{96!KB{J0`~#E zOjr_nsf9Y`Xn5R*=xCs-j0-DJWDe;brr2rp^3M*c{(8?93sB z8r;GEk$f9(u*_7}|2K{7~1F|CSBD zz0|`zv)gCDWQ(#^oPGIh?`Z4u%e$za4k#Y@rDsr^tghf*Y6CNQ%D?rn2y6!E_Mcv= zCMG%?hsqmnfCapL?x`HoNH^d`cPw2WKD;0B@9{+rS9C>LtZ3uH;C}}&+Qyd^<)y5Z zYc6v`ADE3a*Va}Ars|8gdKEsP>tvvguIIDc9>W;8)C^Gxe?f7LzttWFrZ3;$rGJn~ z;UjpcLfcCVyZ);)#U^C>)r|v6qHzJ)b(2m-dD%{0eo|O?%E`B?iT;lj+g@7MOo7xT z@bGpU>8POvGY3cy?>#Q6z@gl84z<%2+@I%Upfk2S^J|~fA@H2@zx>ZNR(0CVmt`fz zO7qN1`%O#ABfTr*TyH9_pl3%vbzdWu&L4 zukWZgr~JNhvP`(`?$0m-sY4b_Gn*y-IhsD~WAVr31r|d_9{NXTmh?s$l76}Bznfga z1&7JIcRB?xj$UhjE^Emea@uirUjM9!#A11X!>SFl3(^|^xfx$9S0nV**zT!OG zi;s!?%?k3oueCcDNxL?D{8jej?uXso^&Y^yW;|aG_ZF_OE2h>5u@5a0Oe|eN^Yy9s zQb!&xr(KorXxD!}@c-M(y{s_MtYQk*{+Dq+Fd_P%$Pf5e1nS9_zKcq3doGgVcz#*A z>Dy2c@OI^Ax?+3@ZoxnUc;ZaMd(#i_#dpERGcA;D<(Fw_f6cvCRQmp!-@Os-Zi07m z=ZN{Xm>r;EB&CnyLOLCIO92?U0@Ma*C;wy<#a8Dh9 zq%+e-5{|Dmbb8C!`ef(_-txLMVE3|+Asv!|%sxMqJAffgd0>XKbNdekqKuxi_U%Z_ z|D_WxeSSr+UduE9Qz?o)7v}a1(}Q5 zHn!-3cKH$8xo=a0Kk7fo28_OUbC*fjT@7KOOssc2?T4wK$t!o;@u#i7bfC$Q({vbW z=+nM69EuY)rPDGE0G-iKu8GVS#;-1Y;r3xg?&n+c?SiYar5_WLfhv{8XpWr!enY+{ zZ%fPPm^Y=D_MxRP$N%jf2G&wbs#=ozfQ z1aLE9w3LY{VRiSCOMt6PI^B4xB-PGzD>&_9eV%5vR6lb)tIxkTZBO1083ZhH%W*}= z@36>1XX(rUnU8L_)Yu>SM&y}3aj$-*2DdQ-;9i_PGar+M^ z4VAYsSl+q!q$$1=&u`!L6~_@^{Vb4`FT8)47jvZ@umr0+7or0(egD0w8?%!=VGtP` zv?wj&e@8f<-xNmQZzB|EnBBA()0@3QKyK`O(sTM;`8UgTtmRTRx9jFjRl#2K(R$aS zJ=?=qsje7qvCDMbsuaVgvE4BM(+U$Y3*hR{&$|uw1`mB|yV2IbB7dPV8Aitn+~8JZMVOL`8|?MfPOruWMe&kwaZ7pWSqIcmb(ZAf6Y!$yVC zbyPtpar^RUmf#RkIrz^sxuxs7DS?nxqd8ywaP{8&vJ#{Gq_}Le8+*q;ysj&^W}EImm%>>0tPZZbF?KCb-r0rRo|ts$0dnBk!V5c(gEU;# zhGpMZIz$)VxZu9LiAUdo4n@7wo~QNOGFYkKm;+t)VBU6Z@MwK5Qnx)@Pi)=-$=J;4 zX26Dl;-Rb>h8 zLzLRz8+YR1(GcyH4zfT9fh|GaXBH@JZN79xL1wct)0fG=2?pJxeQ(cbPs;9AVO<%< zJ?Fj|34V4D6{gFMf81pg%t@m|U)zLy=&K`cIJaB7{OyYP|8`G3E4^#@$3tzC zA7ytseXQbqNZ=E%8>Hub^M6jT60=v4BF+=H^d-rb*Sg;`@#KoOgcO@P-dHh-d>B< zGEq;ncDEYdvR&5+vrd)!Cw-1Qr=LI%9b$I&a_?b>)U=pZai3K>_r^Hon(W|mLnouG z{f@&{jxie&VkceVesfHTd${AXx2=Orv_2$ul9J!E9;hw*qTvFSE&w=uhZDA?6dj_N*Z^JJ6OfN$k$7BdaXJbWVFgw>1Cd8y?b8S zSh4a-z}x(w0*mAYz$eazZD5Fw*bocXcxD?1g&G{)^Tgs{!MpR_c!p7=DFdzTI;1>& zb@Fv2Ci+uMQk9KAN?R9sQXUOfvbpJYsqkG}wr#ARVkb;Ny7bX$udKa0Ft~%h=iYp6 z8B#HAM++I9t*v3@C!R4*oS~MYc4|rg6I%UAXE`5V`((s5Ohvxiyf=? zO;EJG(Kfv>dXzDEfj1~U+_#qMdh@`o?xcXh$BfqRPa;jihM4pEbl|PnoT+m#3A8}Q zWyj^U+N4z*HkU-Ty&Yh-PV?BH-AZ2`^c;*q7oEkSyZM7TN(^>OhLkZr1TzxN&3EPv z(0{bv*qo%VVEZb$Vty{X#=|-JUpMFZ<1dxeLaY>dk>HGM4%EIb99#=PW;dqB}W zu5Q|)_CcXN`pp1F4+nLsDfM(~mu@MkBU!$zj0B$DI=1)p?3X(jIX(Rg0(IQJyz)yP zgiTAIGtn6Ug)zy_mr&Y||MzK(-+YLjUsx3hG~5Og#Wrg*-%MQ9$u>HJVqSpRmsd8h z4oCNzt8Cjd;7RW;nx50%SnyF%r?C0b#*95E7XUyz+yVn|+N~1(PP|tL3LO@COuD&@ z74Y4Wg{v*rY!4r5eCYV2^{WH+pWYBLb_Cn-tc|;o>me;b3$Yh^&bhd-`TsC+WG8J! zFTqhD2(*gs1^1p_9W6t8Wt9mL%4s7pCDdko*Q86U2aI9|)`4l$mU*530Uu05Jdze@U0SLpeqnmx}iHa%(5eu?n zIafEbVaEPzKkR2_Y<>whcmCIxKQm*SXFuwa3wqt@j(fsStaNGF>jhEn@ww{$d-*Wr zhu#^SE3w5lZCV|6-ZGEbd>?(XT=Pz0#n&HLW0EUPaqUqnr>lx9dkkfs9;$Xn`QRO; zf_vO+`z*|)ql_2(_X4nDjPdktgRHf4Kju2e0^qmodnD6%P@1ycT=OHFZ*uR%yQc;e zPcQ`j-oP3ge|yFt%=;$3I+$r%x={{WpB579Gf;k<-MZ*em!j zTLG4qcQ+$hRin6WJxT#7$>n-o*5HI{#0&fa-{D#dxs;Nx;Iz_tm{8vS?Q| zbvq52I(YmpQ|?T6i?fCnFAZ9aSWrIqX~y=^l~Vs3lD>bx#9JPNGTghtM2>x9ZNH?W zRK~+Av}?znY#Nv?-~Zu$P;o5Hu*U#ck9fS=x~ToC9)5Y|W38H6 zmNSahByC<$KHF7&g6TiaLeKkXX0^d|mbJ{k|LyEFBhBu=(^)+nai6Jtj7q^MPg%e1 zvvnXBt6y^I!y$`ufcK}{x0vWAvs!5|DBGJ0PnxZ=GE@IrQJ!BB0dSNYe`m+yl{;>S zwI8K=_(~*;Z&+5&u?|=TpvBK>X$OlgRa8S|m>N9+F(@C11QPgS>uR{Di$X#;Og=@?hQ02ZO)w-IIQD zQ){B0X3FLC+cG!Sbjt{>WeYoN&p)LXb!@_kOMvI>GTaAub>GUK+CNTVk3q~W;QS&CyDaa{ zwoo6lvHz$11<84!v@Cl}%33jT(dB_^*&a8RPO_WFSoS8PCSnPyekq)w`CTn9(BCe7iwfSJwl*d(HoBKQVf8 zT-mLm>hRKK$scdKM!(k_8s+|S$JAIr7V4LyZere_8@da-lZvmKyt00^>D8@1_$uqP zlZMQg9(pZHKVQ&pAFuTENQ&}QfBSYCaY=eDl(h`3w>$UGR@$QU!BacpW--m z{pzvN^a%dlJ|@3C-wi}xR6%jc^TVu1PH+8h4DPBmtNkuOlI_NV#i+d-gGfS17&ug> zZ?WfGh{jw3|M|mH@2?JW-DHr0g&b}v#y;J(;Zny*whC?v+@e*h$A+jU4xSvVH`Mo8 zhf{omc%lAw z9OW;MwJUOMcRF4O$5N!|$DRmo{^V4~m*^qsBlW;au3kOqc*uYpte6>@qo;K5P*TY= z-xXSW|M~iO%Yv!k^l$bBTy*A{=coAHo!E2EizAk=pHnV;y6b0m*YUFJ|BSxua0$8$ zB6~nLx0pbmC)c+vafzCgteo!ADNO%;{|5l>L-ep&I-Lgi&ko0bML`QkbPor*pWrjo ze1h9L$Z>fSGkL5LyxjH`BU;A?Yz%m|S1o<~*Zc()WtOiKc=;oyt#O*{dN8iYuA*d8 zDrxl!vze2@NcyyZ^E7iO^X|=wquuX0glZIltl9T<=g0N4NVw)Ep2 z-ep+6UZ+01*B6-zN&Tlok^$i{<T-SSiQA^up6rLK#~a`FOK0Rr z_v@-}0s(B!tr&aXu>F~-1D~A>*2;M9wnQ$f7<}0qZ%9Wy-aKcFrIr1X6Lv!(h%w>* z>-%MX_hyd|0*)Nk zMexJy1S{L?(K_c#rC;82-lwyo%0Av15>pXA?3GK;3r77m-I#mjp!&b3KV+IL`UKBy z4jSm6JU~Vx%P{AS{Xab{b3R8X+PcMecxs+>Np<6Y`U%U1e<_McNuz~XuX<;++S$6S z@52k9?#&r{bF%ZMf}MP4+~BTd?^@l&>`fUgfx>%)=WCzG5YXfY-;S%uceFip>fNR3 zD>?6q(%xNET_LSN9(bm^_Z3R|DAUhcN*NA^iYI#>0i!T{e8$7X2jLNTe&3?;AzdfC znX7{wE}S?Z_I&qE2ZEQSD_kl$+IkAJ>~m>hriQ)i;nTC;1OTbcW5)G%cg(o;uZQz> zu%O3aCezdY1kO-VmW~bz4R)X?3{lV2Z?(NosKF7Jsjb77$|mn#sWDsL*5}lTDf$T) zZmmA0U3zDEMtpd?Hqy$n@r${no_Bjrk63XbQoA@$9#&(i>Ot&f4Keqs;)LhCh&j$> zZOz)?rj*ynt*Z(Ydn|r5cO8p2bx=y`GD;F}z-`RZaNOp1$DK8(;?}aZmhC!jwOE@9 zJ_@G~PcqWCpTLtg zU24=s%gOqdwlh-;;s7!4^a_}^9xPm=i1>MSdBa{#p13c#z#>@wweHqw(wAgOLvOqp zpkKK7MByiTH0Q2wPI1ZV=&!Gn?MNq{^f~@I>Fd6CDnTZ$Df$Z>7k7MrDA%Gxm}jtu zR%Qvj)rj)Xj+5sVXD`Z0t|)uG?@_lk5&d9Fv5;tUnR7~OneOZJ=Dtsl?x((QS+vc} ziPJD^M9O~W?fWyrqF2%}@fCOX!p&afX*wz$vaKP_KrQNpFOb1oeStv^QwqWO9IGwf ziBEK2Gw--5EiSaQvDKKq?`6(~lATtIRVPg_%^1JQ=j2KSJJY_V+w~GlKP6YR>y%lN z=|XTD=%~tH&zlKe+SOBLuSA*N>UB?oqj-7R2q7QgK zp0#!k13|UaLMYI6GCvJimO%8q3L^IH?^G-G%j%rd#;lJTQ5FXQfz&qv^~DaGB3`jygCJ z(2#FT*yM;SKzn;*0jS)WI%DFs)UK)7L&!gFU)V}Al7i@?K zp0)f|zs%>jk?&Xq78n@4p11sabost+(>qe=vUE{&Z_)g|6nFU?E;UJimAl8$zc= z$Fjy;J{NvsXz_>p*7Gc0dS*V`ZGF=1`GU8p%CYY*4QfS1P3ksMC7xPtw_@DFVxCM< z)teEvHpb=N>@_3il}Nkp2iq@e_MO6(Q}Tvv4>HlTv&(BPYp_f<+3!Qf=*wG2E;^T5 zC@Vt>9J2!2v+r6eblr2lU-5F*!THaQ9LbC8I%Q|S z(NCVgzotEQU$@%_SAzM|HD%`H-m)%5%O2f%bJ`7JB3>W@zj{oM@wmR@2&iFaPm3v; zsi|eLHwtAR`53FlJh3uO8j=1rI>_R)R@h=lA-eq_H|`qPOmmRUv}e&mQXhKmLG>Pt zA$K=#+jd|`(r1S^)-lrp4}gOXChqXX5no>@70q~hCxO@Fbo{9fDLd8Vl-msJ_IOA3 ziZG418|HymyXWrBAPJpW5gOoOODJXJFW zY@+{Zmfwq8N5VH7+l3T>2m1NBO#PUoW#RkQO!LY);$j-Eq45|?b{e)Nte5r(!#;;0 z+N%GWc49=P;?>sn^W(qVRo~#IcwjG-6{KZFSAZycfQ9G|E>%)48@1TPdg`@Zy+8ve zLgEGA5vFq$LN^d89=hbe;6<+Pi=MB-v`3di$JUPpAnQ@O>v@YX52LR;`YE+Lu$}yA z)0=C|K@zAlPR)?8Av?{f_Uv*tye)o&7hCo0QLb&3*R}y!uh&L!Q0cm9Oj#=mw=0$zNo{ z`bbAv?~YNwl3jGX1T)TOxG#OKr~+@+d=Gt{jZ~5hX-%^dQeyY{p9@F!JbrBKT(1F% z6W)#7`6;c?B6wU<{$qH71(GkIrD(16=$Xm(DgDba)B!naedkC$l#UuOewX33%~~76 z=oy1cLX8zjkIKf6)tU$?Ba>%toxQ>{Lv>|8FcsmIW~LrFaR7Y15DZ?U3cl65rBIp0 zcS;9t1f(|7bFXjf1ou0ZK$J)MSgw~8V7a#~A|Y(CxWq>}z-lVh-tiHVD z>SF<5OsFr)8Myy|g)7ZAsu_5;An`XXPjQ)&E&a=L$a}aoY8tzu^gXBGOkjE+_Z;8+L5v9NqvnUl6F(dAk_e6A#)X(+Y9QroD+CobDE zqN4m$GVsloWd(t=^s<{~^bxYVqIBi7CX8V}?0}ifoGWW7M+_nrZA~A}h_?CA zbqf#!r2d6_nwJkeG5o`I-7)G#U=o=hy%pUOVhS@Cy*B_qzHSII*VtGHFf%Z2@F>@H zQrzP4q3-cH2f)6BYXS%+cdoqZdN=uQoIs`h+*h}(ACccetqO+zP^ zLoQ4j#$5ZxhpH#e{jl!hruX{gcRy4x*3WuSK8?1Lx2mN^Hhbbe8jw;R(6YeD#byl? zSRHOg3zv(Cks94&%b8Zb$T6*+6;5hT&v;m)Xj;K7-f0 zSw+0uC$q|Oz^a012Q24#MEP9y>Fo9GzuZY~6>Vbuey^=Ipr_f*k*m`SQVUw7?7TVP z)J<#GG(dGjdd+wp1#%|esX;x-OORJG1zTV#-YvndeGdosxpT)`S_}Mva`h;rF&{|D$ z4SA8J)$Ur>Xg18i-E=tuTS`Zj^sst;Hg0~KSgt+b^$5%|zBljFlnel+h_v1=Is*@? z=6BaOs@M5*R!2Zrw7e6XdFh{r_mkoPBwX&|ys>xXtSdVF=$h6R3?H4-jP{o1b6UCR#X zg8B___%qR}O^BiQ(gWyW;dGj#J&KTVP4?~9}UHoDgOVfs@w^Yrawy0eZ zq)w~`v2Q}Zz2rU?aVY;RWfEev74sW`%nmK@am8`w?ELjqPRK^jv$9mLBEt-K^Fyy& zk=!TREg5SSfJggLzO=2s$B z?v`xd39|Hc)NKnV>8L%^w!h@sb^WrvI|Si3wRmca0Xt_gdVJzVu}WtApRO;Drg9D2 zKNT5E=E_uL&X#$OWD`Op<3?m2vP})fk`j`PJ9FllWZLEs64Ewi$V_H3&wlsrbk29a zZ>`_*&pB(Ib@ux{@AKTlbzj%@%$9t^H;Nz3l~=yZmsQQ;RLVH%f@fFL%bM8U;}jY2 z?E?MmK#3iKC~?wj{;Sk-MC|pl3vdPET92i>jkxdsYMz1|My_`N0~oT>sj8#Hy$^s_6O@|>6TK$gbeG*}604!S zbjtW%u!wo&9i31ZXXxt~*rbgfA=Ed5K%W%K>9xBa`i$Z#7E$+{7=!XAH#pZ0Psj2n z{$ElYjkZc4dCj<i49eJ&l%gjnB2yI zpL73H4pUvc;<=5+U9zvC^Sad+>jNc>mk4ek{}KT`tv-ymm-D`xjWW7*YYIMIHMi4A zoI?Q_sqJ*?H{CmEI4YmH_x!~c3?3G7Z3Ko{TC|xN@8u^ z`e4xEXO&UkskwGNq1B(&mc_{r{^0^#x`rB(6&#!pz2}#0N z*Ldyha=2OMC6L7cgb9~r&qnK8K(77vr))#3kLDJA4Re}kl5p&Edj?wjNKo=u z+!x*03_F8@l$dunN{V?Xu1Qi?jfu72kSH zbk3_atqAHUEj%UrQXELmqSpQ=l9x11(bbI;sc zFad4Ag;z2EiA-`DL*;YXInI=!3_pR}wEHtH;3dvF1A=;#{jD+q7;P3jt_;&LSJgb& z54XlopR)hN7km-y^t#yoSni|{X{Wo zKrz{NycZy(?t$->OBJf?Tw`v%`pvsB5ReF(FYVnD4%4eee|DMeD@dH-4S{i~Mgc$| z|AL|T{zqIXa8&CBkhe=Z%GyN-FN>R+)%gWa1;+89W(i|@T9wQBfh}S=!Dy(q z2*}4_v^%Q@&j0Iw)LkUkX`bh9`w?W%lXXNa8vhiAp<(w|O<+X{ z+iyW(Oe4eh4pq33R61r>*PEHtj~|~_t<;k}oeAqp(R4WEm@GUU)FkbGD%Obl$~;N6 z$)JL~C)B=zZgbriD6vr@eW;@J)VY|3g?_8VQv=q6rFrB`k`WG=)A3Tk>bcm9l%9}BbF1-W@gdzMKQ8na@sc7+uPUIkUTDu( zxHHZHT>T95-!l6FL7RK>0MXh%|e(ZQU4ox zTVBiM`34zCTYRCxBdvF4b~cpVij=)72~yd=RrT9k*FRZ zN&?U%L6+$?JMom?+Qz?DIWmly&0mL|?}-ochWJD?TGB{o*xh$lSBf1P7jE_Qt=v!D z3Fw(VkaF>qdP3WBE#eC(^i@c?OrK5zBu`GjpdtuWd>DwT)F>SuBBGog@`V=4&Tv^R z4w77Q1F})p31*D^1)JmlWxaW{U-x9+b71KWfUo=EJdnwdT$k%VIWWnivxGgmyha$^ zcJKojfb;&lyWI)tOHgIRvlVh{6|VEWeA`+|jTkhE;G)|7a4w<6w8hR^l zRLh1T@EkFIwel3v0URPVfUg@|-mkBRvihLLslOgV5!0pg1Tn{i|5eH>eSAKe+&fQq zQLQ2;0PPm^CJ?dfcu<{>Y{PX`EZ%6u2nUrby;zRtc@YBBx|eezTY7At{6@Mqx@T}H z?CvAM10kd1!zmD8qARRJJu=7w;UBqxVMWDyOBr7AV&Hv>z#ufP@$=5lM3&2MfNBQ? z$3uG=7=p_%E}E74Uc`1UGhq0vU;E+#;W}0NU;kOUW4a)yGjcsJ4V#^FmoGbIL+~oW zGBsIMc$Gak6u4>%g>QWro+2H-)pne3{5{}Q{@JiRdjOy2lt#(Ii8^+#X;B&H<>DlB zU4LSFDfMn&ISv9I5`?YxcHJQHrHXA_%5haDGNyJSEn74kj>>ACI`#!sTK(j~W_Zx8 z;8r?-%qvGtuh(w${HGF!@TgkX_)30RHJn4umN|m7qasqNjKM-vg#@8#2=W5{%7qWA zzo#Mg+$wz@#E99|(#2qp48E){8)r~FLdhoy1ljJgVHGsE1uqs&sdEQ%m?P0UF&|;X zh=7S*1)jt5)zdgI1nh^N*j<)JsYi+1<>os|&y{M-cFeA@FqTHcLfLV_oT!-!ZBFu=1;GfU%H$4z{ zEhb!-=M-~Yn()O~VvLGsYxVLMfA|!Su1!jf?7WuV=)Nsz^_B7eM4&KV)dwlI{Vs8% z$s%xUGT)gEcUgZUB%?#CXrBBEqQSo^keDGUz6<&~*U*IoND*T5YZq+l8hhTJL8*jy zugpVCs#$puIA?LHoh$=tB0$`!Y~IJo>kMkPAmon|k<4Bn(JAiD0-1wj17dqEJyr zC}|l!M68Ag+n%PES(L9ef-d*yyuyF5mIn}!c#3rErc%Sz!zMyxYs@WNj%!Wzc4j>V z<@8U*h361G3;z7j4Zn|?N=!YT>t?J>-Tj#fD({r!ETg#!zFrhIN$?v@_88pTnCC30 z-i+ZDqPF>ok9lD%y@u!Spz&lnOuLd>5G$U4R+$de2C zg5ykZ1CD2Gh=Ul>zjGxY`lH%?%}aK8Vk*ZUBw-m!oQQ{bbA#JhO+w6%ha*P7v{L1KOo?oqL|ojrE4uCYKFP#%nIp!q3ylVOFw z0n1StsO(k|yC5V>#m^FFGZNjGRYkrjIW;K7cB0c0x;J2Gq7QSL+W)|HG<9KVABq>Q z*ss2gaJ*)qfcfO6gM0Bd=oO`+Wb!-%a&LkKeenvPP#O zElOBmHCMmrI1c$g*zJc>^N&VWUtTr3w8r4leM22mJ%gQ>WAsR1-=5%ZiNXE?c_sE&2+oI{PuBjN`!vLc+>$K zsJlW~^?|+>FZS=3mvbqr+8z&bhLMejqaEEzsxd9u4R|(aa#-Se)A)aX>kC!Z3p=y- z1kFF60p_38%9SjNxLwEFmHGAL4E-e-RpnV^$qD3FXSbHr0r9-(^@f40YR z;=1ncC+XZ&9YED2UY1#UZFV}pK2wE>%;C^-J`TU{(_lV(O?7vfx1dVvH3ZtxBTIF7 zRuM1Y6Rs+S=E98dr`n$udl*V_?qzU4+08(wkRpIX;*Xa*fdJ~&=vg#W;V`@JXQpgd zOOk(?aEb0}d?T@-;=6#?JQY9WQ}E=XAGWG5cMkAf4I81bM$>!K2H%&*Rq*oanydX^ z?YXt)Bq{dRXS+NXihlV?AS5LdDN&u#Ii=MXu;0UyjZ5G^%x2fAxcZ-MOmiI#&4#Y8 z;}?;baXbqP#=*4LK9^CX!N!J4@eAOx<=2~f?h>7{q<|E_=5g~?e_Li z<}GTbEbo)`nuzoo=GuIUQVz$Hc>4!}y0nr}v)8`8wWf;ZnjO~a^fGxjemdy+DWH{o zhNI>w@#;#Nmh-SW|LX|slOcFY7l1ju+Tn9p^!N&s)B|$n70)w95IHo*<^f!I1Dcmx zzo8otJF9lf)5=x2&G+*BvI5VVu?KC}ZP#xY{RndcgoF)iF3ooaWk80o{MzL3ysP%- zSmtFnlX6Cpw9+n%g-!W~A){K>Tb7dI@D$tsZY&UeAHkqqVjrYqCFfsWT^RvheRM}1 z?5$0pCzeBCJAp&ia;I-!2O@+Svv|)qmZ-^=05bzCQcPdu_KX;_Fb%5!Xrq+lw<0n) zI0&vzMZ75M;o9*(UBecEu>KOB)d%Io>TvI6^0w=zF+c$n|13nww>Vga0VbR*nLXcb z_o8q`UOFx?VJ68JQ=`3~{P)jZ7BTcmP7cb$Pcf1Wfm8k=ks3c3>hF**qXY8cl(Jnb zL@I3TsXsBh3NO7JMgk9{%|;v-I&Y0w$;N(L`hC+wXb@q}U~T8kV>? zzA=STd@-D>pus2@;GA*^Y@yEC8?yTU{=S5D><9W7`w(J8%c{d@dTl`28(B>MBe}-Q45-l@!!8V0<#Ca#^baCJ#8(Ff4JDO3Tt_9sRQWv47xpq z^Is>&jcW1maNJ)9L5VCU9)16i`20Q}RKl%7Ilb#ODf3e8xSy){6GrmCPFONDuiCvR z7d2q@XjE;c4M&6kNOpHG@aoV!H}WN4e}(;s*H$FHxy3{C0l%BFTZnp?a5ovmG9t$E z(v3)}eop!ZeX z^i|Ee{d!#Ci;9qf>~#nYB*|A9O28LkWR0gZlcfQvk=V`O>%E@B(&9d!hiSP0t8S|F zZw66|%4sHT_F|i_{>6RvEruALnugnintkZO`0q*~=&%sJSznt;D?BtwSURSzWIOw8+{-+H#cOdZ%V)0eg zULki}Gz4MO6}9^2lGw-hR(Ydq%ci$r7Ez05Z&?(yxG%By$l`4Yy6pOh5i#$Ld~^z@ z2J8w>snZy}zk99dqWkgWK*5U0D(%#_syXEkD^mV|!GH4ButsOxd62aboh0+7HToaq zm(@-cf;A1w)%YwWl1)dLQy*n4$kzRd1c5Pjx9PY0kl>-b5i%M^)4x6tOo~XiqGj2b zydJCqFx6h{sk!0m$~eFgR%MUYWIr^Wx4UG?1xeldKd}TLl0D^^+b1^8jzL?Yb_o2? zlN6`_>3H-Ff!0_6fl`ydr2VUBiX-b?;HDwreGl1j@V->qT@FPssVp5Y|CtaTgs8ZY zUwi0|v$p5sDaEI%m+p8Tb{qr1l}uWH7G8vM8zwYJ6C0X?lQTdThy*&+mEa}kzGfiX zazK@Ge{EQQgy@}zM|*JJLqntfG9bLyWia(MS)#kJ~mCrrSc?{yTF*LsdDF>iYp8`mjyp%!a zV7;sT42qc-R^-PJPsC{bvz*F+P({`3PFvw?DfB{)y1+-wt}X9#bQhLv@|>6mv*6pr zF31LzMx@lZE&wmV6H4GZ)YcvJIZ4;dA=fT7XCNB>-(8ysT#sw{!_V3`SzT;3*S+GE zaC}J7K69C)uo;#*c9QJbQvD$KZYww?mQ#N8?QB-8(^9~2W#sl+C~WTa%oT=xvi9zc zuPTUO(@bi;m$-0Yw~yBzZWK&GCqPGlDJbmS^Vb;^pUX^{jIqln8R)ViSr3gyaTt7-(MxSCaJ1&&f$u9nx#B@QjhV(kkG?_fB`Bdb5z3S2#I9RM$yhCiPm_ zLFEJg2z_M5_gWw^`<^lRpUVC70M+mPFmoW{Nfk;eU;wodU%IjQ9Q)FGG8PW3kMjER zUNz3LjTm7Su#4+i%gMQyR{n6H&A3vM81W!3bLtK78dcxs6VN-{2}!+EI0eu@u>u}i zzX2eYs=Ed<>hNGMND+&lQ`K$!sBm+cSzL$_9BXVk?y~x{pXffFHO{e9c=oMvEYdGX zU(PlnY?qbMz{rL%?MB8qxA4?5FB5HMU_;c+9^Vu#h#ts_`PE-HwSv4d7V(;^T%UV)Tm+$T1{8gcuFJTPIiQu1xVMfDZC2gt2m{> zveFtLbUH(BTQWce~*;?3%pIP$#4l6Bq zE5Q%GL8bYufq@8Me%W70woX;mb0y(?dlfjZ$VLn+Et_^Gx)We&y6a?Q2m}6o@9m1q zg!K2y7RA5pye7uDT9$gh&ad7yD94lF|JynczyO+kUSg)g2XC3u<;Z^ua%Of6{?iLV}}N55&->*nayOpyp}0O0Xs%e8T^K^@0+M58;%$=)615Z=;o)Y$3?n)TX#?; zIHkLpqONh3;&yyb+fNnb-#Pd9gc-kyFjKxkpsMEkXe%`{yyTDDD@+a`sEr2!_ zhNm4lr)fxh{g7Z~vk4i1W6^w9QcMxG=e;G>ypVOqsMM z&98ui%(ksivMCZIr+erBou^>Cdxn;<6C+v)>3_)QP|WirD?E=}`cX-w)D}ZUX9WPv zWCGrf)4Mj}R(MG*Ly`|TvUo_4)v@2q>n`k+c@d29mz{Y%I(|3sB3As#4eB1?(kere zA6~zR20qiZ=3q3Hrt3w09)B2$HpT^xs`>>jibKP&#}J{g2yAr8?UUHgn)j5^swtqp z66X4uLV;gD)uE_6)kLq)38n9-l1%ix|4hY+wXPOF#>rB|I-&!XJcB;AUcJ6S7r)Qx z&AyiUtYYNR^02nL6!qv!(gy%6r&%5-vVmO=u_xQZpwY0J(PWu9r;c5v>KiRitzNH% zFTQ7vbWjl=>W|Y-)kKV?aJp5#mWXi#m%>ff`o;&0X?kbI!oHde-g_;f2xRqaIah&k zOG%k7Rd%SkBZ)=6?mebK4SQ~|J)Y`+I2CC%9Jx_h5}x2CrQ)A{q-!|NJeNEkFwD^I zrTH8KsJV!ePRynCGy;sXM00jYugD(2I#uW&3#RXMG?_pKR=1vWB`l^Bh zWs2A?>uUYRaR56em`o$~_Wh5@6>f z@HEj*fLZ(MZ~qV@pf-JvyX8PG1kQgA9ik~PDT|hJDQt@`|CGL$Jy*5gCMD>xYuD}^ zRK9KZ3z^#SO)XV5WV6@d>sx{AhvM_35;hA-b(?0l+eBGuwU(;4jA!9k7rjrW^x(NL zf8^$&3C-*S)zAR6<~5{-RN(zBk=hVa1df`pJ;2L0yrtRl^zmVQFr`pX^5AAXtyits067F4pSs5FLI_?C zo+DgtVCK`<#T*RWtTZ9d!OB^jb{&|=QLlJu;#~v2IQr}j{-4!{Dd-Tom$BSwD6ad3 zC9tg|J+CcATyI|bCXd$COad`*d-K)U2kknEAg>b(BHK02BF3!Xa8vf+w?#@bP};9! zu0YLp-;WSujS%Xpp_FJV!FdNiqCTvqR8c88H#|-A^;f!swTC-v{D8wJhAwrTW;1-E zkIn$ICrZ>SS%=q=#sCaB42_(@KYx9@_bDof(2WJ>0z@8P7~M-=Rg&Ee-Jce#B~idY zwQ`l>21An~0p^91TjNs>ZmhN7VBW4y;ER zQgj1~u2+16dKZ6GK6J^CdXJ_&tPY3^P^_|+GH@Ndtp}fmy{f18yLs4@zsAMx`F5RA ztX(}NLh%VH+4Ws1A!fG8@4J0>WbjFJ0&f{NO0{X1buZ1$D3!8ycc^a$C`~vkxswx0 zKm%;KJAkwJO0r#(x&JG~=Q5P`StzJj|HeZ>M==4FuCf+v`p|~219kmp8YED~xT$j} z1sy{d+Vbt_T^HbVD9XL0R@F{@c|Vebv-%W8uc*Ji-aFzT{tCA&kFBNlW-W%N>~S~5 zPUmmt@YC8rF-7TLpFuh2md>BIw>=Tk`sU*Gm=wF4m6k!F0mcHpsu5~>3pnrk1IgIG zqE7AL3oXD5Y6$5kCUlxr#Hw+n4uFINrOnDnO@&8jI$1@-x#lzYSvmv{Uc5*;<;=+h(l}z41KhR zK<{T{pil$FmNq#KLbhLu*&HP**(|JZ&^{<|`h{|M4nC~V5hEJUpk5V7=u~XvDxnK^ zROOg5AS9ka#i?_hw+bs_ns~E;MSaMrlKb;V5ST+Q`5hk1`~x>pV2=&_YW5!6}pDL z2eX~*)~xqcLwDi>&;ihX$+FS(D{g5o3I~yS)`}UBUjw&TcI??im)!N${*Y0#HOv!9 zb$~r!3LSq-xc3Y`u*5h@|I^g`6W=t^0?Lo)e|(rs8BJcRvp>KkZXDygY-#OH$A_CN zv1C4XEzO_~sbQAQVRJw39o1EbmhaJx`c~-nQ~NLW3_@tlN|<_$(T5?XFcjO z&Zo6&?XCU!$!*`DXd?IA;^~UY{nb{BmN54AuIsWI707~2*youE8;?+U@P}DLPr6Wd-dKEik*m43%F#I*Fl1wHX`5rVy%zUKw#UcT+GaVP0i#D>JCfqIfF& z`(Vv#`0(I0j~D(FykNbu{kyw9gF>~}<%T>Homj!Y4MSbpFGwL(X9djsZYD@eoIwd6 zFL>D~RmUD9$IIA6@5LWO8%NaVc@vk)23u`afZ{#X@t)s%y(sbEruRmU31M;&v(F76 z?SYd_&F_AI0OS7i?jr`Rs0?ZtFU~9bK_L}D9DE3lHM9ei~6G;|feqXvDBN==9#R^-)F${^yAJbd9@!GEG zl;bdxr@LgnmUqR`Ywd>U<~HMxw8SheO{=M47v;`}b$FVra~&aQu>Nip0pkzh|4{mG zWqYKx)#P6D@qxp=w9S`jn*}^LLz?&|m4!wGrJ;)2bX@bll#AeW&`6U#Tji+qj;;>b zNIT&|tJc<#MR}|K=(G~{ZKBsUT`~>Rbl#7uBpr+6%E*TovD%hCyR#Xs_+jfy1oYPi zQ)HQ;=b={azU47O3E4krE4*0c#00ER?Z^C1zlt0-I2|)ZXDAWuS5=g+a?Kr=je9C> z>)T?>eAp|Z>@jy}Cx4=yAe$C-lP0|U=}c{j^|~r*dRx4XJwJgTVLH4!9$>#ntc1nB zT*`L6X|X<7u%b-XM8|H%+SWEQptWrC0~m-zK4lImE%nV$b-oNvdib>hfr=Z-HscFW zzO(*!Kf;cbAu`%>baBkxB(v`m)v=|3R0Es3E#uNG5z=MSVBNHT-?;3R9}7=dX+|dt z`EVHy|C537@#FVXnamFBwbFmjcgXDq(?xtK z^#W?%(u-`Rnff?K1xggpf%4jfm#(ksBjK?bdN1oP#{oCI%m}P+8n*N_W8?tF)Ns|6 z=pDcWMx|>9GUL55t4Mqj@V=XcJGsyIgdSx6mC`>;M8V8x=bnbN=yjQ=uibtg=e5}n z21iZjtjrxwANYgV?+VkuO`82uaF6%Xcu_WJ+TbsQ0D}(e#;&*!V2Y0vdV3Se>ZX8P zO<&#emN-L20%gy9+>Sx*Kv%$V-b&Ap4)EQ3%WeW_L*ea@Dj#E5!_6^z@ zisow@<8zr7N=lUQx{GHAF6_UW78h)8d{IErb;X1un(N03y|Mv+RZYPC176IwOuosQ zRIqd7#R;F?e0JMv=vG;m}lEVL-?eJrR; zpYeH2TjkyJ`-Yud8Q6q5{dp8U-_&kTNjH81GgCP8=-`)@B=q%Qu_@HmIiE&Xm)1%D zeOCX-P2=B3A8ULu+bhkbR68ZG?4qJM;6pdRTmW0cXMV>HU@V`js`X}ub&aAGtpI0@ zL@_u?0cZ~OQeA^S%n-3##kIDd+6`Q=+vms39^n;1-HLFAK$oX^k)1J*>h-72j;D0A zd|%ijUn^FAieI4xIzwu`;dZ^@(Yhpc|2dhg0#q&Zk0kiBzrBWO31)ZlRjoF;TUppv zL;HIdVIm0W_d=}ZW2CXY*ZHgMC{YFv*M*(Z?5q!AM}n28Y;Z@+`e zH%23s+k(naXw`|KkQQZskPxDYDebfzZgLQV(QNA5)VeW8g%s0Nj*ig&lgXU-W&(sN z4zAbM_1xzD;vjpmo_$#;_v|LUsir=;#-t++S_8e%d8W} z>OZM6CEky1NYgreyZ^XMGKdngY<8@`lAXT>HCY*Ra3=2^ejY5{*zilJG@;gfI%>A( za8raLUzCht%g1u9C;71)|JLFo6cg?7Hf3Tbw$k5^%b%T>muERV7u@R^WGfy!Y3-C@ zpe>0QoOS^~Wb2#``Cicb%*!u0SH(yN84+o$sALN9-3!`0S+3$8f$iMLslyt@6q~k@ zT`X~l^FdgH#ZDX&U%Kz|>v7|R_a7o1UgcOFa`^S#?-^q7I$)))V>bqx*nMRs4y&E= zD=vD8{=%5Ml&Z1m{>5n}r`x8l750`k^z}2}v%VKnil3fKi2jNrGYsl}5y*`rTAeA3 zaB@o)3+AGRlh?ca*y_HJqXTs|4;iib_~8|Q`emo2)iiOyFuY#SHXPd0MK+!lNI@gt z#oSA3*wHFJ(n>B?)3T`^-sd|VG&06TU7ZngqjBYtS}l(HeDF54OxlftQ$EM2YKYJ( z;AutmUf(WaudOj2YcYrM2q{6Tf({sC?HBJ*ZMzg);~g3>+G&8sc|4=@qT^v7uB=e9 z`~iJ3Aw~CI^I&hClk(zteo3Ly(UTv*_OH8c!(%^;@5pmv*0Fww4 ztq%jXIg#doT8p)Sj+SHWo`B1#V!vO1f;M4x{?{?A884PAM~i|SEMntif`!uI<$|w2 z4;?s@2}#MOpjWcuiKtSZ2~Y#F*z-@3A#nHJ+cBvc7qHc*Z4%S>`KlWKyjA^Rkd!-Z z4p}CmNRs?zEEgZae>%?&gs<2M=d1P@f)=uW-|= ziMry$HlcpWS?0_8Q9KO*=V<^_d$%8IL7O*kR4BG(eom373_Go+JKa&UnlIh8K3D23 zH9J^zk8C>NG**6s7{Ld9G{K!ms*l8X%3IFS2fx=E8Uyd^Nq=5hlGZ6x(w`G>U@DsO zr-!Zg2p0k}1>=Y|u{g?bE8D4vUY#fwEU?>q_^MjpXK@`0#h-hfE9D{*j zy+$31{5`xr;-p__0QK9|QO|Q%ZFGXX2MTHeZ;D%5N!X4~2W*YJWZM492-w3Y)uHp{ z7`7XIPI!Gl%{$@cb9HImP=s8RMM34BWsb$|`0w^2K%9( z!+e5V_tS4=td8VmI2iC8AQMlF*U<2Zv1kbh)~N%B>0=K`a>1om(6iG^OEWuvzDQe% zx26A*?_Eiau;=9o7P*`rA&E?i7&W6FTZNHPD|m-8*vYZQr`|21&4(!{F7B@}zYs-* zu94ZYTf_+3qug6{)Bxfoh_iB9T1PZCH4kllQ9hbHAGi{=xKcJZw_tr$yeL0N>TswE7(BgnQ-t)v)}oY~mhE&FmX&FlK1YWE zvO#zDS8msl9HX99_Y0zqGZxX(?Hm$T)N1!`aHhx)7JY2A&B2rYDgMpf@Sp6qL28{t zw);zFx%#E1z$>s}@w)zz=y*Obh(L-5P`@eXb%rZ#Q-LwggbxC>kW9(Lj=;a!R!pl1o zRI`unD$Tmy;>>WcyO%#8Hj>P;^K4jfDp}~blv|z%NZ9B^=b!mJBKz?8HsKRsp9pSe z^e3R^WC$>wT^H1E2$&H2*AgILrcRwXporC8BP?B0MkQTiMH&2bzT~euP;{mLu!$YI-Sc^!w~A*-7E&=%~}~W(O0^$p@YPTo^6>#SBznN3EZfV z!PMMoITrDd*#7&gd6 zd*Ek%vPPml?UD;C%Jyj@lppKe%G4I7HRd?CHSl_m)#&Y1=orJ>z0Yoc&qocnf{nuj zMR;qPc0OhXbGLL$F|qbDTmZp`ow-aZdyjUfRRiQ1&Q_mS&NHKg{7jI2qLhd`!kE46 zw!xvwH^)-tK0eU2xfe)@f=k5Mtr8xmwm!=^aDx2w{;oj_0yK?=9QqzIC-=* zP?CdkpG~Xlh;gk}3SquhoY)J9%*90G_^2HPc~ZHqrDPFZLu5kvTSi2FbtuvFB-$og zSS)Vv7WI$0k$$u{<=V%LQ2O5{^1Ba(_OG1v*6;x)?{4^=8X3%1lPjOeFoUmZ)o56x zZ08bv9lOK0+h+4KyZFCuS3vw=x1M%Tq3)Qt@2Z&-YHG<}?cI>p+&eE8XppRVFRf-H zvov1((zKzdk%C>A6ZJ8@?2Z{+5)dx$1`&ElER2aZT58ce*=M4YwgK{F1FFt z;kOArd>-;pBH*kpdS#6oe(Jnp7#KKHRrQ(#iZ}KG7cuV2JCClIe!{&UpXqJJPKY-o zzjTmFYckuVV42s??^yBRIF`~L`p(HAiY(f#Jo6PPf+Mg|q3Z1odW+QG?kU6czui;h zq4Cp7Bo$kHGBRoX*cm&3Ug1DWR1$@X@rMrP!jqvPvxd zp3}TB9xMau%IM4wITlUP;f@gKwjRYO-6m{Q{wOXW5 za0*^_bM_-SR_4IzNn=fu46uyVm5L6N`B<45hWl94oGu;}CR&!IjHufaCFn*9Wd{LF?tXn!z>(k;vI@*%x`M9d>QLd5bi z>`VJCuYIMX#!L3S`)6K925S506;MVN})0?P-4zW337wkU7%| z=z}l=RwaqLtl+GJvCXwz|M?emp_V~JZVX=a?xV+fsiEcCeV^VUDPkp$5;(WP%l^Gs z@Kd--o1q3{XwtbJV)ijM_TW*|s}w!Ow{5XtFq5a7-+@J47WK^xkwB4$|Lvl(Tk}{$ zL(~9Nof2tW4IRM_eKlA22L}?H-D?DY!_^Z%v*OwTjNh_OktG89kNs(}UBC9AN**M3 zCdwDw=~Y|@-|Tn+gGbf7A(8&1sZ?~?5fDzzjiR-!!J0PAqcf= z$_8IGWiq{46|M3Q^x=dIypQ{J#gRJE+4T+UQ?&4_*84^X9>|dHi`UUvn7fjFd+;g0 z&J@iy;x`^ps61K2=6hh@N$|Fo-Rwk#O@|4KH8o^TyAB!t&33h4D=jLu4?!Q95545}i_=Uz{!9<_o^>E({g>w(Y7>ix^rn7) zJ9;spp+p_jFhkLK+P}dXoy3~+yI>4_%-|#jY}mkvN!>u;vB5e)_)0^Pn(<5W7q=f` z+6F5qJLOKCL6>KO4UHJyFy2jNF_1U^4QQUk9m1Q2&aA*5X`k7*|MNE9-e$ICU#6-H zAMnmq;s+zpvetCm%GL!$f@dj4Dkum|qEu+zFwKBwYf_Nn4cpf0e$3F$NhN0b>YYxp zgPDkrIQIReV|_s?v_^P$T+UBh;8665j`LEu&8I&9BXh-hrJTRF!mkPH@vo$PTZ#{( z`pf)&H)Li@Z2thz7JEw;T=1takLYf9B;>0o!5kXIZfRtr51PZmGgYSno5YxIWj znbUCT)Pye=*9Fp`WY#ZfjJ`yHkD8vTUaz8)K1^o4aGpYr;1uRvZlIL{owVjlqEE!W ztUO#eg^!QeUmR7Hbl-P$+`7rq^W)BskjuvV`pDc=z`fF<#Ya2SCy7HXi-Sbzq z&y$U;d~{>lXLv2*xLqOW4{xYEIFC5x!*CIG0shF{tyU$`BAF^#cghMbA+yQ z3JUR{`0_sQ`8yFe#>@&{qMRp1SY+#wq-QH9qeUIgm)P}vjpX)IUHH-d{dm_(Qm5Yb z3!?n_(Ghg+8H5x`8*@?E^eZFf6RHE>-U&EFh~;GOS9|x~GCpR0miy_yZym7iAn!1} zeJ?r6O1pfP1Eu$22z*NAD^S28(!4V$Vp4F>o5N)~CI|)_1|_zU_o9v0ezBphG_Y^p z4=>06uAobBy7KdjsBuT)A8#GMAF{{mKA?|uFh+8UO2(zT)%b4yuw!Art4%^#c2|4K z(dcTsYNk`#GdX?zbH6l_EM_J9=wJg7)+2xFX7Y&^oX~xi9r5Ls8#SU2<#Or=uqov$ zRwl6g@Ejprg@}t`MH-XdJl?BL_e@Q+K5aQgTbN$}XSn>Eub96deGZ`mt@YBpKrp)N7_FnNJt--5fh!rj zhQ5LRY7J3998-2%(&Mr7x2)?f^BgEVpRg0_G~wquCK|Z6I^cK^NR?y#Ugc!%j`%M$ z6~Z&|a<~Tnc+RcF5NGAkS2%9(@_zJ?L-49E^%FG7SHwKG+xdN{77p~j7JG2t@ zd`tYEDI(+ZB{(Ve6U`W_mAqg66~S*|e{~oLx=mAAV(-2nv&35Ol#Vk-^gXq!;Xz(R zZKs~)SDMV0XL|V9d!knj`<@27SUTwEiYFM1mPsZp>*5@*6NT23KWb{9>&eMs#IzSV zP8JUIrhLe&wW8T%E7iZd`m1jhYgeO`UY5~F9%C&TPJ^iCm-2U?^4=aOT$BCv=%+5x z_(Q3qx{%go-rDUg+S;OF{^g?m{DA|))y$X8_n0^Lj+BlD&rN*Q%js^IeJC}r^Nu+Z zLJslQdPC+NeeI5h4R6&-?NURFSRKcoDjdCgeO2Y0d0uw>w%(Iv-Q$^#dI8T98#$L+gZY|SM&LfKNJA!magS9#`yVj$^^99FcM3Gp6Y(}c5a^wW~6L|q; z_9!WfN51i64+1s$E*PV@(!#&`;VX^PE{D-oej-~zCZDxh^q9Oe!$+XFtC3UX?MNwK z@ThtI#U*A;%lgf1+S0WF_1}jXdTRR4DF9YZYo*=IH)Um+}$a{jct|RUNBR`uqitwWEyADb+|XY#p&2jHpMc zZ+yO8c6=k#Jd_jBak*+LG!eNWjan`%R<)?SQ);vEV0Z_$z$n0Y;UBi+fg$9Yn8qVv+K(!$Y77nUbgAY`!%+1zf~wT!sL5IQO_z4xal7d#YJs-5L@ZeTxqP911DPf{Z`rK=;X=n=*zqXnZp z-6%R`T&WS4^?`PM`Xb&)GyB3F(nv*Q?I~J0QtDP2b5cIgs$Sfq?0bb&`77swg=P!p zrk#oJ=K_YBAyK}s{;?(*f|lIDleHG{lO889LI7P|e6`TA)6&exoc|M&6z}Gw=~$he zoxZkHv|5ZXhPkz5)=*Z>PYi}6Mr}j9El3fhOCxo9&?2(bsd+fw1 zPV-{~#Urg~5ynK(o9yS*Er@2BD@f?0E(1Y^z7wxAx|U7D6CCKx!1IKLW0MCpv=m-c zJ87ph!-KU@G1{7Mu;+CMCB9BXQt)#ja7>`I!|Dw0rBXeWxn;I5xO?pZjsv5df zbYTr0DG5ei)jaO3J1x7G>-V)cX5a0u?)Z`YY~MlaS9OP4E!@Dd9xav%I3=;)5sbgn zePsfuUL;S+$CCGW+j6&E8TMn5{CdR|f&~I;oQv3%mb;P8%7kOdG@OF17mg9rnY4yeAltt^)@bNndabs?q?cc(OKhijt#Jk}ySmZ@Kmf(8BM zYkood4^Rk)_xz^gA5w`!w{Do;vVNhO#Ex`+qi8IPtpQ zZ?%emE5h{|WIjXfo+7yM~H8(55ZUaLGYHN@W4e`M-Nq!PfQoc9Pb5qLf(Ka11)wAa02 zcaom?5&JtRknHw3hBNSMM(sgurY`mGnoYr)c|Rwca0)}{gqnE`d%K5rHN|Y!k+G22 z9W@Ohj7TFPjxaty_KQmQU^M)PZ#PbQ;E(G^R?WV&b)w z`pe{Z^iaS<3I9!3QjD4{S zO-Z-g3JcQ6t>w1v^ch6O`kVD;r}@0H!0KZmS9h)FMrvi_y?1BL(pA2zK1ZAO<5?qq zr_xk*vu0FL)cKb!KRwj46OfbU(8xm&cOn74e{V#KWo7a{40{cyW6(!lwq;;wZ_KAfyOD3 z3s+l0WOZMk74TIIK99u94yrjeToXyh1U)wGW27GDXRKBYy8`{-8+ce&4I58g{k=0V za{Te;RHmabF|M#Pf?rFo-lzbMxHYJ& zf-bp6)~7-B?FuF^RIO#tPD{RY-(27}^9J=cuh~zFt$o6iCin!{y1X-fV2$@}HNc{i zuq^fLk6f(07%#|<2oPNj5DeB09+LdXWRs=WE0xQ=n2BH1dY|w575KXZ3-A52hn|>> z)!R)?HL}p{tosAEark2l+vIx!SEhacJj~ZwH#lYTW@s;{pyr0W6zrw1Uz&LpaEYf6 z9Fs2c!-agQH-bXsvG}`S50oC}@(YSrMO_f zI#DyeQ0CNkYU=3|p5xd2TEWT-=~(sa1#uG01&cvJkV(oJ<@t!4CWtkmG?-WXm=V!Y zon5Mric$1+IPsa@8!O&I;EBdrV$-GQ*86&=5WNGkvux?++9KoUhcb@C(&H@LdJFZ9 z#w*ks(ifYgAB?eDkVnxxx7+>u={FL;-)`G_ zPhCchSLmgG-|{b9KCL-3vakOT65#9l=a~LPSel;f3E@3LAyjv_>0Kw>{i1mkhl01ycX+u`X+BT}+z4=0niAXD+&+;4;j+0*JLE4#K3h1GBmbXKM; zD*XEYD0}a4s{c2Byrj&sDSL}JDzf*AgphrV$SPSOvddOhILOF~LRRIFJtDIZiPEuG z8ObQ)cfZj4Grphi@4CKMF8{pW=Xj3$d5_2axbNFIHV#S+94V}*^R`XFHTH>a-+OXC zED;w%#Uu-!hewUZX(`Bn#@F)p2cm>0zhy)zDv1Iou?Dz*ZT_}trGZbNu>AVMiu_cE*8=n)q+x&i+liKCK&-I*%0iFJPKNJV zat!NvGFlGT0TN8>EVTdaBbL7|5XrLmX0djR*_%G`jFiBacGU>(sHURy%?;`sO*!d< z?n@ce(PHf%0d`V%#+6yN5Pxuo{>*1~oOYp^g5K$xAKuu`WTDV>-!-GV;2Uh~OZRW{ z1$DsaNu;NFp-7YRF&pXJwyI2&tYF4?7slxKczo8CE?!Ddqla*mwPY)8i8RZk@c_<-C3E<^%az>PfF2A*uEufke2k&!^PC+e zJvVShyaTyvJ{fw1Mjc~hjRUzV)lzER*lUNIW^17tA)k7fnAuM3pRb1VeDkw!FHUc} zfEX3ry)}~*jd+o(6sX|eML!xRp)*((GIDHB5!Z^&>d4*Vz}NU$9#iGR#UGzILrq7KD(&sy4?WmR%{aWqn2FNVRye zZ=YFZvud|lY-7ugz$?8qXYuNIwL}K%Y=Fj&kumevW?Ia;!VCo^Blp&7kg8IH6GtT| zzYRW4Woi{&x`~BWg*1eb3_ylX8IA4!xjw#9a)Nmf{@Wj!z=MptYQ zU#PoanrS5L7p$IHwz>U?i=aoT)NH`_^2R#9fG1I`JNKo`>hE4{{*seywhu~5N=WGD zna`Xj`*WVV!q>1+{b8!jNC`H!BjUPmj$v6VE?A3=TTP~T{+p~Cw>G8-yj#pxqcx0M z86#2hdN;(swWuJ3p7;ssjp!sg8}oTzi?soDS&R#iaMj^ykBUWi+E2U_PkXL2hKxwoY7debU-# z>+UPrX&#n}r!hLpiMHDn!*T41SeUy+d2ZP|&ctUkWt2B~P4D@GaJ;v9AgoI+A zl)B($%;WC%NjcY{IN#(r)8`W%irtMkYw5ME%}T(=OHvj}r=8L?tOPplW{i{vuZPD@ zw&g0tl~Sv3&b{lYT>h|`3wWuyt<5zn#5hO3aW3tk{c*(PwL>P=e3M zY$jdm#V`13*%fT^+(40fhR+Jo$7i~&>h1|&vL88@>d(QvXK=@k9eqCCuAy{Bl-m{4QJ3ljm-Eh2FF6J(4!`S(dl~kf70`5O5!z-gJHH{MU zT~+W9N zql*_{Y|OV8E#(r1DobCvSH_G`Te^0NhJVg;y?Oahfstrug1aZQ)aTm}`~Gw6>R|mtN*4ui7AOoPKP({r*cdYWjr+^KV`?Zj>ngZVbQC*abHib1|{;$W+$q%3i>6(nCx&sS*d#L97J(+zB ztwU1NEJv&L#}X7;ik)!{u^Ae-(}E&?z2rJ&+uyb4t-~ltem2u?xYzswbAes4KerYV z6a%C1t0O2YJKv{=BPe@@Ph;@U*G``VY~KvQm6c#`t(wlf6ynjgO1m(Q|8SS}C%3PB zoqWe2jn~si-`6Bnj&})XBsR3jaB~+vAc9ox3}Y2p9|An#kkhU{mWC3=u`m7-dK26? z!->A9O8Uqad)7Y@#XqKpu3iwycfhS6nE+4zW?BBUUeAwh?*b8TIQ57DNTk!{c}{dv zxoM0chU_Q>Dm8D=Dl;u8@W{lq2ny9$|{%1FggYRzZI zV~Joh(T^2VCuhNOb4lPDw>zq))iZZ&s+^IrEuWeu>yMD}U)9U)A&6DHbR>*bXg>rY zG&9t;4i7BwFIzkAu|54wHW-vZ-4L#;$#4(C2Z$z|$2^@y+mePtr`=df;AfdIZ-z6@ zT0+WO=@L_gG}`lSSrb zo6x_uaa4QpemR$Enaqg*=kVXAiY_~_j0hK%#56>elc@6~qTmfi7JhX+l|A}4N=o-= zJC}lELe!XB+39u&Fp5zdVQ+tVmuB77`i?CbTkgjd_dR-~ueasB#ZWW*#N*fbk30GN zLF$yghZ>ccehpda_okMfvA0lTpcj6sG4p`M^V{JuDr>j8C>UY~J$^6oUptm?a%+-n z@%YrV+tU)7&(?#cv#l9Bk3}Dk$cG&jB&)dkjw3=!{Wj>hx`NQp`63^9yGZoeE{I;= z*SQAWcSA4aR_?*Qo-C1A+uv<#3UwE9r`fwXiNuI7#j?AiX~UkhYVR8FS$Bkdcl+K* z6|Q`FsL*}voOv#l!H4@CX2n?1cU4aoJonxNMxic(rN=qE0y81lg^z2zFw>h$ z@&%fwy7g;cY`ItbJ^aW_@9@;5Ku3qmX+N#Y;p{yrZ^*Y-Z~uDU-!8yQ|LHt!sxfuk zo^hr9^4^;qj`^z^mv^3H<0SNs%WGxvN2}8LF|G&uF^Iav+p-@P#g*O3mOk^mDbCvC z$K!9ZMXu@32D0B$H}ca_naH9$0aR%Ecxka8ffd+{%WaM?&pyyLt?snh+F14~uR!U! zzR`AUX3$F=@VVdZ9P7mhV~1B^5Z4s|e%Q%G9SUO!X0ij6Z-$H9Do%gm!j$!+%jf#_ zjUpo!0)XlU0?WT%)38T<3F?3;d@4`}OWhkBy&m;Pq3+>hv38-@0E{%+arP(P$D78H zsH9Js#x#TcqYBxdF_s~b;SX8P+kjBA738|RB4QbThhb-=)b0cOtv^=)Z)$PP62m{H zc!lj8(lx&dMyAg|aaL4(L4;uw{%I}sk!z1v8qJMY9xP{NWku+cRWklj3tK5Uk*@c( z$krT;!1K!TSq}mA^Kp!eSa5BqMq2>tHWk`cl`&Gq{`~>r`T+{BSerA(0S_o zYQ&~wX(90^kK2>4O+vz2L-ig}?AH-B)31k-Lez}TkfP^Pbt9wXI8>96F+fT>pRJ;$ z6{hdJmnR7CvKz0ys`dc^ zf}_8P9v|8k;g`?p!8gr$G)@sc)WM*iH^8Y9iZf6?G4v z287+#<2?s+3gj_pSEAz#A`d)`sQst(yq-Ns7%xjNS%tnFSAh1b>gXdV`mti?4K&)# zlF$?~f?y%H9a=X9`Ha<#7U=6aS~$_5QU}{LDl@v2ZqZNp(Lc|zRx#Tk*amyHP6d!!TXTfVWGQz2Fp(9Ax?l}WxI+p5qH(@ zE)!X!*OVgSqVlqiq4m^BPuv;7x(Gu*j?gh9@klWrg%!s3x!~Gb@8RM)Y0>GtP>|l=$OJ6qH42ki%M#C?rVIct8 z!W9pYKqPC{{m=IbmKp$I`=QR#jyO!rAc3-pna{sARn&>O27oooWQs+GPg%+&W4cKb z4ivH{171~hUxT=3AlfkpC^pdK@;@rYUSo- z_!tU7UveZXkWKvd%k#ZM zt?E5Yz$h0>|S7kXi}4j}a3OHE|Ub(*oK;dOaP$k+&a&-zK`QlR}kg2!3L@1^WWbCpyJ(g zJ2TuyJ#ze-G(K2$dDA5Vo! z`jS=l(5*{-u%Yfn_0{HFB!@s#WFj4n446pOyuH*=$zelU9Y={$%XS@Z3SJ+(#_i)K zv?wj)GNx2|`0V27J!y1*h4J1g&HcHWBW_dEq(W?PZEDZahvws{F(~M2qHCz@WRy92 zcRc7#CoB0YXYrz+N8Ro&D+gY4*t{-T#5a7omCTVsjEqw_Qf}zE#!I(fHgo9yfCv+t zCBg^zDS%bkiZH5|izJ-pDtqogRb*C`d~8Z&K1yM%dyf^;CE)+yv_H*RPkYiuUDuh+ z(C2NCB`xDapM2TJtGwl18IF=oKYL9f*g5umh`*ER=jL^*z7Y%4eNna8<}SSoq8c8! zZd8J(st&+S1#>!J%HKq}2+rwZ-SM8Y0^&;qESn7>^UbZ7JQhA_rvlu&x@3>HQs#Fh zE{~{<>t%j@`rU9zhG#rY*gkIh6v~lhHFYf3KgQ(!v;KQyqazXmzNEhn z1@coFuGX_l{K~RhyAgRQED8MO-PnuFUO~W0vA(|EUgoU*(E>@tO_TUBw<<(aT(tce z(jvv(_W3A;Uy)YdZm8Vfmj1T?M-BI2Xg6a_~8rI!B=*CWGBdOa0ulOBR zf2|Fx56cA9_fx%he;xcgW$sb-i_YvM9Q(5hen-}?=2y+R3F%~9rlDze4$KQiyZ^F! z2ia^Xo6S?fwvt80dcIA+BL>xeaOQk0FfiaZxaZ8qX2gnqOL$^STnK^530D6dCKtyA zQOy881orqK1B4!3S?F*n+GTU8rAtWDq>XRQmch24tAEcG zDAdEUIUWegXf(*Yc^c#VuEL0=HA4%FzkQ7G&+D1t#q6ldgWF13FYd%`&bLcNNTai+ z3NK;f6A~zPJu5xO2cTEzhmNALbm(alo*e{D7oB?S=LU-fqtpg)araG`EQ#n9U0lvB zM~Bnd0BpQuNZ8mCV<33!g^h4L+!MgDV_`ChC~$Xt7RWz1SzUZ_E6_|ioEo(jv2>n2 zk;ClgJ07%{Q+H^`nDrfgvmCqFT@MNUxW#|CPq%BwPZy~_Ej$%8Bz)&rS(os92#wp# zQ;F>M!g~6LS?M$_G7{_qkU-wRUmUOh*Pe zXT1eYkXULG@=TPy$Sla8W)#YIvPSvvlJ7Y{G?mEex)!ecs%Ay$A5kgEQMUcInG$bR=m}LnI6(zL4fSB*a3NW6&dYg zW{{`JbmL3at3~DwNXESf{P0XKaC01y+|CtzPp@ zzrGaSG1N@~l3HUNQg5yRz59B(JRZzclyVHdmXpnDt_o7^J{ha-1vy%>kP-Z1-8@ap zDN;e66dB*U38(lO33@0WdDko*s|!w~c1f0BcRshNnERSZa58WfqF5o1z3^c)>F3Dp zo?Pg-AMs=EPWvu^yNdiso(EbN76OJC z;NMqkQY_mDjtrh;J#+dXRAsW5jcfjGuxfHNjgQM{gNAEB*KevPLr~=9WQUWC4RK`cE#~zJkORV}LXC9u zUom{`@TE$xQwJybYy>|-?>*E>84@y}Kai_7jjD7yH;~c+6L4yqEe?Io`5}J6%4Nt> zR-?yY2ntaAfYGXFHmYzcYm}BfXgZsfwJ!H|$MD^in9-H&w&CxJlOxvi_TQKy&nS#6 z_XpEN3)ZaDR4opzpV`@-`(86Mqd51c=)@1v)PNWF{hLasj+-M%iiThkQ87V^vE&EU z#UzVOWzM+98|p!Y>M>*Kv8QdXxjx_zn~*OY7>XaLyERa!*A#M`DwM~$(=l)I+>uUa z?cMI9d$gLY9d{a|sFPTg5Pkc%d*%t!@DVYnR>s>8daLffBi$Y#;Tn15j;Gl;+2`-0 zt`XA7&1+;>;V@Rk%#Dm86 ztWdI<`E@biR!Hd*QCm(s(u;%a(dkpx7x~S{s)5FVDs}%Xcsb(a{OxM8p)d-Lwv+*0 z4??uB9Rr<^kt7D>=B>9R8FrDw6YOXX&8}WSOMz3vmnbFu8mc@4(%im-^`7NwK zC7L(L2sJdXwznk8y(I~vbKG#J*j$Caz)0|LYelIeAzDI~vy>A`*(Jq9yyyvj+C1OZ ziIAU=BNu$-G+Jv(ON!|dx(0~p>wCMa`#hE%bJ6hJ`uYp!R%?k7&fRq{NDT(LiNl<4 z-;c^IT{9JYr`e-lQZ1EfZcoJ7+U@>+HTzY)py#Uh_eFD^)`ARRc)Ywr9r_!2j<x1BM2U8QSSo~yseAtFd~SqHy1{ZUYeid5?VD8jCa-=*bj-$a#Wn+mwID8@-2IY z%-6)0$$DxI0hGIrBmOzvtH$6zB_pgDGA4_mxCu2S%|>e|*Lewyg&TsRc|(FpxVX+Y zl>2G<^TjoCxt8DP2~8Hl!N1x%POyu!-s9=KcN*u8TDi>m%{nABx0#+=7q$iHiOj1chr-9~+WYOt9`NzCPYUYoe2c)&;qpu;tO zl$go#5?rVA<*di0o^em=vHe#NybkF9+Nq(m(uFn+51Xd zoA?|KaW`$e3mzDQGubXNmxw`hS+4-PvGzpu0*>-E>Brd`zf+l2=!DIEo<+WovT`b2 zka}|fjdd}SBS-Q`Y^IP$D#%a#S}tGrtDE?a4JKeX^b)TT=P6#pjj)+N>ArRJXXhO- z4AX@<498x-L-jxCM-WVEV!<;w|Y7^|VO(lTC*Lk8vQOp5d)dRm5w?T*CF{X|a?pwl{}UosmdD$61+s zG_50}tsP`;nxNm^FuP=5B?$%>Mu715&&q!>;!ufrY9^%q#NNsqvrL!hF& zV2=PEKhW2M6;eB_XGDMAj_jSi9-MzOUJxaCt{_ZnGW1gV&`RBi!Evi&ErN!6C34I= z2xz-*KvMo=07=Z{I^#4fH+?_ey%$Y=rYq$_Hq>|NyGazJF1yA>8`XSVu+!VQbvXim zU&3{YYY$C=gdZ}-Qv(SPW$_cM0RyB^_0o}PH@0-9g2+ulBXX5pkQIHYS*1_D*t$Tp z?|8ZXm7yZ(dp3b?Arug&Ih|B7$IZ3*G_48R?~2pC-+48s{qys2d*kUdcB3_G%X-C} zj_J}iTwSN$#2rg~nJI5|Pu=p5?ZY0~-Bfx}$IAm;=lS)KE{mEDFT3?if84^u#VH9o z3sN;I7q1agBGH(k4t35TXA(C=z4EKI5&P;01}X--A>$H#{;H#taj2UZx*0Us6{u@G-m-*&=bF z=6xsgCPC%wxg++wRs@q`SEu+`2A`~$>u(LaKC0f{tdPxiyeRzQyueoh--K!hFN|zO zd39Q*SmK*<3vY+bUP)PlSB~;l1#uMuub(fppP>V_G0lUpEw*5`AdAt{U8DSS-8s^ zANf4k_Z+LIayuoG`)bECSS)s|L1I|BonnVO&cAR}rs~Te%)+Vu)rmowqaAx(t}$YK zzt@?NYi>>qKe)0Z|CBb5@>Tr;^(~1~`9L{r^PpiB5hg0vpzo%MO#ZQN%_>E+o4Ssq zq&5VVPWKJ%WFPr3oHp+3X8L20a%X&4_*+QR?1Q)!>mIKT_h(&uRSD6R+76d^ZHZP! znQINFelEO&=8h4qTjda|Ec@^L5dvya1ec1VH?|E1I5sIY$^ow#?^++9_Fm{|?B3eg zaJ{C9mOH1FY0tJx=?3JIkl~HjBKrBoMK8_1%3OS${a5{KmNkQX=#6#9^+_t!X74$s zKfG>Q-b8{4nlkyuE$&GKRhC1Qu7Mo=;gbJ!#QhZyz+W zK$Si8=q2gM#abWXa~!%7EgBGi5qOH?7Ts5Vd;Uqh(eJN!TkH3r)~?5`;~h#8t=V(Pj?Kbtkv^8)-KOW>8oO9>HVEPucW|Icez%H zOtReLTo)!nJ!^Q63cq!Vus!>ZV0(Ahy=A@u-LGA*@|lAoX}iez!uH?;1^0{%D%+Z- zw^~w$;c$G+Geu@K#y%zAtm*eTL4(;+c{PSuLCwb^4?XEc{WqA-St=!1q}gDxUkvO* zA_up`51pcu^)MdpIoB~=O-Pql^^`(myd7Z<-zYVfD%}=`@B~EwJ%k;^=e+Wb28;1xMFm)Tr_D9im3%x)+pFPT8ne z4NogS3DZ5Enp?TCN=|U>1v9I?b0lC(FsCgSnwXpa3tN(I?+|TjK?#o7U{zh%xI%T) zHD7gMq810eAU`QlJ(xJ58}8WleKe2+UyhX-h&mXpy6uS12~mZwLpw}-xvykPuSXGF zs@KV)Md^5SSY=oztzhkFcL%sMlW>$JY#f)4;V3CSoh0Qw0`M2ThO<#p zpgrsUd|8}s9)0C4I{%iZkHdxweT z&ODKLcsq~U^hJ#W4x3GjS~+dES)uBoy?N)Js6quZ#c^ELVC2bvX-{&L{9TQE_F(U* zloku@_Us)L&&sJ{fH?Na!1U_K1HXcMS(RRGuYPZ3CJM^LJ!%Pw=h>1p6YTMiF%(() zeK%Ppox9=u+u2&5t1FW|vm;}!-ckNN9S^IC>2AtweS(A>IcjRnT`8RjPlA|kIbCRv zYpj+{&wc~P-V)L0eRh{A39y)i-1N=0r@X5&j?|ut_kx|z=c*i+opaANa<;6Bu@-Rp-u{uPB!#&NhD{HFlg((X- zfTc=8)hQR5|J}G6mK7rTS`2i4Z@zrL+5WKW+C8b~=hj%SSUe|et3i+OP1@34B0sAg zp8?-5Z^(X}Fi911JEjHl*nF$xx2e5L6%>tJ!{diKozE?#5gjoQqQ5MP>#g?sfo%bJ z`5&8&Q0Vq!EDjrTLIoh*5nh-UCP&Q~K0TLrP^kog)cvn%XPqx(mx90`=K0tBAjzyN zG!B1zf?`|5W8(CCIXwG~EB34&L8N$rgmPH?#;GJiNHui`PsNASJ^aftm%Ij0kky`+ zM+U|!R53c&AO06rRSv1x3S(lsFUJbB^uQ9>OH*R<{$7wWrIsfZ{G{kEJN`Xkfc_7L zsu%=X^$0`k#Kpj4ds{hD%5j~XPzkm|{<@z8JE3;*B0^)d^>|qGvLHuMw>7?pN}Eiz_kUZoCJen=gk%_FpL_ zpO*yEgpqCW8SNncwu+~ZUCU*Med)xmxe9fqiFfJ@1>GA>oy z%2WrcI5@O9r>!tDbH;k6n)VyRg!^Ie4{5fQ)U(bH8B62vkbtYz9S|fCA%{;_)R?xf z-}9RfrTx*x7bxtL-+5y=^Xm}DAzaprF4*Qu_yYwfDx~E_FPJ9=Q=s&DkHCK+qiZMH z3n6pg7bs;KGvG@cL=PW(v6`a!IAXDK$tFezGGJF9$E9|AOy8P~`C2 zDvvC`;7AM?sJFS_MH#94byZu{mXx)0M+So!>aCXdJdZv29#seP@^zTBFrm@{P0 zTcr$eR&yH8vYFjmr$#w9Iw{px5v|rIA@sJ`xwImz?`h@#-xp&bEbL1ZF!@g<6X1NV z^dtFgeGvZqo16T^bWd$%pSAf}#+c(QrD;FgD}F_AS4OU`Nh`J3DjD?YgEys6O!+Xq zwO%j#Z5vetV3*L5coiAPr%D$k@PlMq#BuC&j}lxW_iK8~Za%0da2Lqn#$`oCtfx+d zUrC=Zz5cmCP?6e*$lr0$yb+eIpm6 zIw8h+YfSa{-ZfLUp3%@gbrQ>mcgjUXjpT&)FPKcm^85FE@htQ|%L2lp;V7fHg4D(QTyjG8%51~O6Q1UilA>t>ABZvRX)}arq)@f>d$2AF zyY{1T626#cr{O3b>y@;6#O>VD)zMMdGK&T;K+YTy+Rw-S>Q_OI{R<|&dnc|j7mH2W zAi$*KJJxM`{DwSIb1kpb8_wBzgSy`|zEI1(U(z|`G>)PJ7IHX<&1HuR&8ZiV?=+U; z!!nJu)s**S)}tblM2)=!0c2p67F-&C$#-; zvv@b0CdVh`3`n-vJ1@q)^I}eLHY%|=yet>W6;YjIXb)6k0W=UB*7e1k8iNUx_1VU` zZ}e-+X*$C4I~N(qQC2OT)`WD5zg>~iRx*?jAu^Ezsi5Fw=2uUI@(!X|9q?wF$K?;P z9>PO@EY2f;sz}tY?e^8R_dHkM%xbwU5qW>$oqm}o(#kmY=Fp!fKOno32W4e_FeCy> z0xnQ)mKvUtzWXw1(sEm7fX^s3h$PRQQmppA65c4R#D}8~br{rNq(>U%;lO|!c>G4M zokmeP>X7WeY8$;JcL*Q(Vbw+6s_=SJCRuMgf&YuRA^)2`THiw!l&zkoEf$dH$)}m7 zgSt@+qvbX843D7}(y7N1(eVV1Q?p`lZbO)Jo6phdkFC92F3(_ud?CYbY8?{uyh?2H z2#%8Li{}a=W8ryunbhQ}F^AL>AukQCM9tdgGYzU&gb4!u3|*cjh8tQx6aP%;-XO+Dt`6iPXnYMn61GV8nroh2|UdcYpyjNGp@uP zttz~3(W2%wU@gaQu=eGSd8sH#kN)gH(c@2}!O9Bo2lpZ?I}1De z?uv}LFqR4bGDrQNHlN+?b%m;#lDr4@TjYWVCuj(&ENGF8j&@Y-F-G5f3awYSamH3a zS{cf|ffuVOfT1WA_WV&f&9`j^@Tdj1YR^p7JCq9j^pDwyQ1+1zvl1dVL5ZPu#blojY07=^go>(;umK@En}%@h0UxM8l_b{zjDM}6ERxH6Qzxi(!X zpIbr$4&GWlCy3PzHu*NK9f$AEY9 z{st1zPY4bQ8MUC6H_Uc+iS*PTS7xZSiMi59im}*c-ZRWxpKcO7ldC@o?;EuCF5&D- zr1@535|-lhfoRO^`{EB+Ru~0*?Kr-_8jW_lGANzjT6yu0M4GBfR>y~O%|_)2;;017 zj@b8>F_o9^k5%a7ECoY<#?Ka&Ur$|2TJFsvN12`0t0VZ&zX=`T&LFd0K#yCY4|Lff zyaqUKd|O*BaqrGcDMB8(pB6mcCy4|K4X9zUdW{l~-`d|c(X_>|>2$YA75(X2TC8?R z=~#bvO2Vwe{rQm~^^zY%`(|$8)%~li7Nmiu9z(&9#or~GT|s8KzHNRe_xGh<+%pwi zXBu1VE2FlXSu6VdCww)%%RR+uKi4w0->h8I4{;_MSlmzZ-K+74A;y2eRu5cBifgm% zyJs1wr2Y|;E(jL#Z^pbNqI16P=2gPP@w}_XngS1@xqtrHM3@XFYT{ z&q)^V`hCuSHZ6`Ur0Q@`q(q{9C$z2D(*I~(X|BU*&5}5F_L*1~e}&4A$G$Q*PtXxw zPlQKd^O%{2U{5~70)SpEkq;k-IEP0RXDK`Oxyqq^X9Q*vKZDdlIBqX{)If@9HuF1u z5dD0I zm3Z#r*1H!Q$oDTY!hm)K|F8z(l1in|c?xwpzXxE`4=e+iKkzxgIxMSuYnmlezj7GT zv9Q2d)=fBIIBhHUarT_;G~!4Uy z=+Ya$dsI=C$F^EXrcu(+N$v+LShHKF3GgXd>*J6o1Fi?iK9N!Y`@t=2DLW!!cJ%w9yjMu``lL>z}PJeQ)m*s*onfdhr`f$I63235tNP z{2#|!_>2wRUwYX}6P{kTT{5I@3^h5|?QxI9Cy7)#+21{MExHwW3Y7#8A@1!Ro_->H z56TVjpzMGgLftzFWtF1Xed7tggE*o1gYpR%q)ZU$dv^=a8d{P;lOLn()(_H@<5rM> z6+r%ni<n~=nJr!f+NAq&cpdvy^>oX$NKxQ&3?EKUXYXC9+uF#*ph#kZ~~rNuE+P! z3RMonua6i0Be4qL+!GPKkAtihavk_MOTPjnW=a~3)ILJqrWnAb4y2+Wx1lQcGlqeC zj>12CWrdvvq)hggS*sh@+;xAI4~~ZhP!bC_YKDE+I8wKv7UXP&NrfW<-4^9rsKx$? zWB+hQ%t&;YRf#NS!c1v1slV;JVT~e}@WfF(Zi)?r2L*0w zLJVr_1wp~HYhfM$5q)6rk ze+(wdLgA<2?_b6K0y!PByPG{qmU5YYg_#>Ubz@yRoKCjN@v@#5F|Rt;QyGZF4P85Q zndEC+U7_VcGO5|(PY!fWCoVh7y^0n6oP>^-*09^!Hze{^@yh%?!MszlI#*`JI-u`L z-W|qfO3A~C{7|Y|5rcvPJNJdjY`FvRP-Q?|RDl$I5d0V;aW5KP$8|MruDX_W4zwq^ z?A=wn8-p*>^xv~`YE@7DE)#hOPm zfU861&jLpdQhb=;DMh%idJf@V<}Sf?d|z!%cIq9$4C*d=XxNV(Wy-hTHa5Qc>}`Dd z%kf5@S79wT$+d~;{_GIAewJzFCRp1_@|U&m&4~znFnrrbP|yn}PeJCh^4&P#6iN?6 zy=>vy7(~Qrn*si-jYFEY+gGpY573b*AKb~SXmo0Y36v@Mx(yiFhTms*s}h+!LG)!y zK>L1882ucLF@I8fd%N9iZ?5IDQ;`Jo!P4mGB8Tf_Hfq{x9aMMB1}6=m%TRUvm9Q81 zhGod)4T|1Lmfele7uVQPf1RQ9`UiA_@4fB_6j9oKk-pj6oXIpZ@`8YiSvIKS6W@J_ z`FhiKI`J6X;awO-5p*b~=iQ|29>i{a$v-hT5@KEbp%%s(VhqZ(ik$3|OEUP))(<@W z+wX{jA}b5-o2^o!0Mj$bbA$X|J%qja&>rMJZSxM%QfK0JXg9A`TkE<07~AvxtcfV6 zQTMxJLZ+-}zF-oPXb3L!gkQQpBi=1T1h-Z>(KW<>aV?b^WhPS8S>{~om@>ciux5}; z*~}J;OcAymjRgMuhQG_jR6K<6(E@#seuO}=r)c{}qu0(391^DA7l$!+Ide&(%Ela@YeTZpf!(c~9Kgn{TLL66Ou;X-vhBlA0KqLBA zR#&vs(_?uTo`mFylBVXNU!UFRUlShhzNsWL&=Ap?WKFcY)XO>_$4Yw$kPoT~n!6_& zTWXS1l_EyG8@q>Rg*hC{VK|k61O1#k8J($Ur?t+frla0ikO^MH30KMan=Mr@KXepU z)jOpVQz~Fj2ZZr=axDS7>dO6N$3YoYdzDwx$WQ!zgsg`vwH;rPJM3^ZkcZ#pXTLkw zucWwS;u-l$X{-FT@}}0Og4_4MUlpQ8`T4O13F)K4#IcR2$t*8MzYl+k3}c^2AICLJAr85-Gl8?BwbeeV?NhsF?*~|P$y9C$p@dIJxoA|*T{89m{W}m^g?w= zaxRJgoBRK`2=%go;8Q~tnxngRn)Hoc$w#H3k^aw>w9|Dsxky@DMu|I|hW@Mx$jXP> znl82EomjS(*7-CmUQ5u(rFw=y3qr)yG!*a8F6R$iZ%>ayy+Ism<=Ellgl)Vp`As)W zj{KWXNhp@4(_Ch)^TWjYoVA6&ebJWEh& zp#yua!8^zx7^r`7*cg6szm;v!-p4oEW~+P5bfEM4KM5i0G1DD z4w0i&gsJX5h=8E`gH_!IDcOfRZ!$+5ixGe96RIF30}iy zv0A^}Ik;j1M(2PS6mVx_$3|kA{_Z3i9Mme2P=KP&KNJTJ+URp=4zP#rNZk3c@Jt?x zUS%Za3(NEMi;UBMXsvL+0IDD75Z-A?7jVT+NG-`NmqSkN-vJMaJ!?X^??v5nK3Av5 zhHej5H!hBgqa?(<6hX~RKCB1u4%$uFj7#|2;0)iR`FjU)s&J5OhgD9aBO)Wi{hj~zl~YqATCV@nd~=dJE8%hYXW_POVd2ta zI7EC!7mCEflJ%fwz%zF>>j^L6!60yBpPCq;&4FY&>ajb~F9-JC;b4 zusmqxEJ}D%<=gj)(We|yVi5KGFBe~rh)$eJ!Ss5Y8V??K4;rHObIs~amlJKSnUS~} zF-G#(#3Xj`_1;)$Gqrzqa_%smAJG>0dbxmk63&*nPF|{e=OX)eivdVax++xWC2W2q zp?F|`F)WW9#m@tBSNkG{fzS?dn1^5A3U)IpwG0+Ek6Z#2 zIl(1>m(2H$D*5kAO5A%%zQ~QoK1v6TgZx|dxy8;$enr7zb7iu>*4G=#$doVe=qN==lJot_nG%&P{nmSRdG_WM1Pf1GP0`yL`?Q~#Wmarg)+I#dHKs~ zCTWs)2Ff2D3nR{>J{l0hISV1rBy^VnuH~yiGj&@o?Y{yS77Je^@d@^7$j&1T%fD`d zof$gQbnz&TGM&v;l?cQX`m9071$}xn)QYYg|NH);kPoi?>w_R3Lqbi;@VO`!oxCu3 z6Ufj*Rg8g|7nkw{MZLda^`0KbDnhIjp1KNw8y+spwuh9C27%;N)7U{_A|+64re=Pd zlhhwc^$zbpsKDz8Ix&vKom{THj}9TwZn`v3YmxYx%{_vUANZ;c@0)=GKK{So;NKfr zT}Z}d-25#_J)`cxnIROY6=jV5tmjFnqGCm+J2~7Nob*8d|MlkT&39JlB$k`0g5A7u!E`$R4}TJ* z492X1PJ?MrTH0M#X#NUI2qG*${;z)$gazy)91TLX{(n0f;E?m@bd>kVJkCnVZqNV75ii3yzeGnq<>Q7#@l}!f8Og377HdU&rB53D+u0)Q~j#aLG z3&Pb~ZmY#+iWHuWk6o-kIEW`m)cVC3e>otac26DQ{p$WH$a8n%LwkOrc>6f;qa$Z zHds;_jw>SCT=$&ABluS?AbAByM3cY4@EjP8FafShB2{D2Pvyk+Gd3cm`nGy@5x);?Jwt!CIvY55JwPm^)}qc-wE zE#3PH@8uhq4$w1$wU)iUkk))fYxyu9HV089(d>Euoh{W4g{i+HcNAWrk)3rv1%5wp z2_V7W_h_{pV1?o}IbkA1Y1H}qvzapc_Pf3`ymv0s?yq%1^!U4?&`;l? zi|KDZ?cyKH9+!^h%J0Qsz48DR1ROpRkcB{u&>*X6I*5I?k3A)sex55q-X&p7sAfpK(ln63G|W*6Im{q+4PhnjGwGP0KQvvt0d)t;B&0$GMT5)R*ypWLg|7 z8#rcw-KZHo8^j$-0@ldVb=N(T3Bbal2#KTYu+oL{93dgeigKeyc27> z_R5bN?1{XBD1OrBVsderihen>NcEr7J)V4MSd4wTB_kZzrJgUN)t_vEcs@e4e%d7>ozesHU ztXhs*nI@CGx=m41ecgT~-u1AXp`*BVCYL(DNt8r-zNX{mhoK|Hqb#YEI#YY6ld~KQ z8{U5pJMz4kY4DoeB05>oY5yl-&aQ&D5^+- zz*TU!kij@?rqEw8JwhUr^6!)51caV*_TtsGO^iW0r|^zS))`bpKI_A>$y{ak+Z_=p zBJWtJJ8~r|xpbd~b7@>r#9qIOEpe#)*1lpU_UlEz8n|>CUoFU0R=^ER_21c4sFK?F zcK;ng5aC~mM0#?Dh(6KX7-;w~v>nb|Eg76ZYM9Fr-SM65r)ZXDrBz<*DE{&o6-SV| zO0fDcN@=mi{HsZ|qs{knCoV6^AoaLa?brJ7{iIA1S5-0geNXLa815Z7HHWD{lbsy6 z;S+ZyQ{&bq^HhFCw9{Gf2hlA})1ptjO`-KXuX1gA9V&#W?2 zyI;JTDjO7O`J}BIjR%!Yxg>(sSN@k9ZmHIFpXuwPV6Jw*KX)ao#1rdD&jbZ`e5u?v zWA+lhlSN^(gKsZQhMBUjs-!@W6i_3^yAGg*-+u<3yWuKs6T z{&@gFKr)~tHkS(D%%7mWsGs<1t*FsEp_wWZQ|{rA-dB`xWTSKrWnNE6L-?7Jjj5~ysDsp0vG zRI2I;;!0=Do4%VQ#l^LbYJVCDR9a;k;a+FJ~iuh+LaL#6PF~qa3(8uKliy$8U zf$94K7sNTD;B)z$pv&w>X`I{@9~!)YN9msWA0jYkhq(v{8@XDbP~1@^T0PXc;g9TI zdSMchbu}?4|KEKX^?%(pIaWkmV-DTto}T1WEao&`Gu~9evb-Qnq3TtZw{UeVu4hv@E3l36>x zWeQS{xpO2(jVlnU?;gLvjzK*Iw^n*;yF`}G!4;812!s){A8sFO*yg}fOt4$!{ELxz z(SN~OnV_M98tG8y$lNvvvbY#8=0ri5r%oJ9vd-+sLhog|No02_wF`oZVG}~)-ZU`2 z01JWfweRKp(xmKju(~JGu)466FoLtE7_mx9x{D58yOFns!%%fs7R6|kpaHrLF6)U? zQtHutTbls(_`J`CGi*~cHDB22`mqBa!w4*Y7WU(2f97M?j-U-f+pmOly~^h-y2{48 zqNAnK?QUvfSEVYq2xqA)yas}yD*`lccX27*Xh=8#nqt=OV!y)SKq4yc_ieEpNHxZP zhZV)fH@*_y8MmFRdpj!y|`)DB3y%sa9Q~oYz#jk+gCaFydcP}ay)9J zd*@$^R-kyVhYfmuwKv@Bke{-nEE%bDoMZS#drw;cZ6jALDz`A_j%x>l*32VGqFdDX zB_u$$u&z0NDjCUaK*R`X8-NHL@4A!!^%XcZL0Fc#_}D}vl09okuQI&M{bu~F?Oq&l#St6acv%D#2}d}IRw#YoCI zIQwHF*b&>X^^C&uC0wQ>>Le@L`8ZlnFpw5(Ep!JEN;EE({bEMXZGM^x6P5Rl@F`yjMr1)|wwDlzuI=}HYy zX`T*Axy6a2Nh?;xE(qk1Jt(rU3vq;i z1_vS2)5nhI&v+`49K0BMIK&Sn+T=RF2}|6>eb0Wl|HuXH`P*1@h+cY=U%ThgqlXPk zy=-VwC%K?vBr<>*LGr2?2B&Im)3E%0niAF)2kAzWIZO`mKmH}Om)`FBL; zya2i|uT|I{jY6l05D`#6L-P2nD7@;zFwxbw&kQod6hZ5SHrvCg21D(|Y~vs7w|}A! z!i%iH;r+18PsjXnX@@{eoEvScFz1YKcxWY^ar`!c;!6}UhE4}g>i^^G%j2Q^yZ0s4 zNDH!rWSdF0QPxC?W~?E57_=b!E)k)~GEs!dTG>KT$ugFxNm(XY64@KOL}f_`zjK#9 zPoL-eyng@m%0Ksg&wDw`b*^)rix9~Uav$mqJg7$-Hjy%J@; zf>~66iyoMHqqeN?(;LW9&swDj46?C)Y)WaaxnkdQA)~v*liH(okctUw`uspkoc5#5 zew5d3^10`gpo>%*R2k>%KEsI7qoLa|((QMmABi?Qw%Ds({idYzkZvKwUqV!<#?@$XuOT;l zAh!&?DqYBa#18&57lPSVzn4U2nUQ>oKc zN%mj4%#F5D@I<>~%>2x}updA)hdvId>-uS&Zi$WbjVv{<+hbBDV8~dLqIBv1-0)iVD z3`7WIm5Vz=Z6C2(dc-y~UHzbP_i#vd`Q^THq59xspW*hNh0X^gwG=mdeFFiJa`nsS zum5e}H45Ar@tY!5jv3O6Tj(B&&VAR4dpF(Y`2A{5EpwtOn3Jt%1jU$JVxNOcbASr+ z|H>W0+xeM!Hdu*vR!OvWxQyUuJQb8%Q6w{lQ^^v6Iw{faE6=aGe>={!a3wf|LGAi( zm0iNRkpBJnO55B)CjdtoIkUl54AW%c+Tx$#vY`o>GR)Yfb~XLj%~Id9-q++4VL;toH1s&4lM8~_W`d+ zY)wnOTZG|zzmrKesIRNIJI=KpV+}1kO&xy+?df$-cq2GS*Qk=CfQ=p8sP4hfEDz6x zAy_2XM=L+u-dCAQe*i7s4Vj_D-Wt_X%Fplv<0mX{;KGjazhW4^bo<`@`zqutvRj5D z|MPPq{M+b&)&p8(cgqp^+7hRPFV-RN%vHbB zp@A6{k?{Ol?`L#$jQ@8-00)m2gF)V{@o|PKc^?uu_5Y6ihC-Qkny8I_SGnje_5Bg5 zb50}8j^4B8=A`F+f9}I%J+Il@=JF^`LyH|ASA*5*VH_IQ3e44nxE_gB>x{_T*N^(K z=h49)lubH}KzF_!+)E&R*%Ktx%FfBIdsXquuG1_5vlla-ZprfRfT-~+_DVeeX=L@G zPw>%AEXm40kL93{#`-F?Bq6daMx~C@>}Ad%1exoV+g(@cKVfHXTpDT4A)l4;c6;#+ z&J8*7bj_}Lof$=*hF=7^1Jty{9;lSqy~R|V9_x=wi`nPzac{E=)8c z1zhV*aWJ7IfoIC5T+` zoM$BRgRW?7Sa+DotdDi6M}^CFQi^+X$k!|(zm(lgkr1X6xtjhFLF3g=!d{%fxC8du z)}Ckh?_d*5nVfiUs-;sn| z!z~$BeL`Zdv#tvePB==`Zl&LVBDlZUgtXKMSONu>n|}-XPcF*bl1R7nXMdA~nE8Qs z^_k8BgyJbP)SKwwz_r*CH?GBQ!~W-bO2^y3RLwZ=RE!@lAjNZ@IeYCl-GB22J~-e= z_6(XbkVz{W44VA2dP1nLjAA~MyIJy&XrMTSQY3m*o}SvB)FbaObRJhXYUe4AZIat* zcIh&oV`9$_|DNwN16db^2uHVrbSCs`Y}&9940DolV8u;D(D~rw%_y!v8_r(A*?EnhxhzAs8?C`QuCF<}tw4pOaJw>$8Cpmz@6=iC3*;qvB=jeiUe9V!cQ zM(w3;HrXPU+dt`Ag=QoCN59J`RMwbLZ~S1Bi3#j_fHU+;@hrot&MrZYFt}^C>y{1g zP=84T|EH$2;VNo(6``I9Nbn#laHEwWMhRQi+&0ko;J`dK<3)KFUk*X2P^dzLWFV6WdW3n+jpFFSkDt7rXzRE+7B_z%xq%+OPo5Oz14{?NrJe?JbI_eE3K z6N*6KcebrJZcqA%=S$Q8qz3${3jH6UH>Hb%o?|!Ph9o7AGnSG zQ$mlkbT&u}Xz_5o->McIR$t$XG;Fxk^fGFM#iW+LJ@rkHa7Z*=<>kVRa{>qnUwKcT zC(a-xL;!)|<3tXLw$g8A6-r;9Z!~&f^44aMenS{s3IIzjG)SO|EAocR?4R`Q4>eT4 z=QX2-%FEsSCyPICOJc_IL5Y0FuIetngJ&5w*P2(Kc(cRt!c zN`xE&Uy{Lplab~L%=j<25BrRHnCPE0iXUT9-=hLk_VnX2 ze~_Hu;C*n3WE@bTV568BA(hs6yqU^I!R(gLYD5efOg$CN(q3C#LHb^Q!>Gr$&{uU~ ztaZHVl+XXT;@;psl=+GO<_3rsxMih6iE7cY87JYV!^Bt(62Rqu7jCF@ z^fhG^XHk|=H!^R-vf_9TEr{a8>_)1i!8!0_W@O$(v5VC{g=Q1>wX(Gyw-gSoowPyH z{^LCg^?sDwlyAoq1j_DL_* zUx4~m=0j7(@9d#SF$elJEPs{Q?rzwShz%dXXKtW1c_h5KKYnpGrrq}43Z|&X8*z^Om zeXkJv3{^eVin&kkwLPZmcl_B)I)>k~)}RN1j$uytrWwruQUaKl^hod&G~B2|hd{y2 z{jL<-`A_f9n!EvZY$Oa!x8S0@k{0dFMcP_~?*tfVkkXG_68)cNTo$&apFv8DuzANG z4I%ZIEKu2q1;K`?4_dC!#Q6FA1*ZE@qFa7-vtt~-a=zUVzH+=(sNg;B*`EW)M?c^! zaU32nn6%V8enU23cb+F5vkM~<3~Uni!TfPV!`fJ!y|FBuxMC&AyN&V+ zG=4IZpCbx>Rb$NBoaIMjKS|x>`zO_k367WevRbgGPGMt2j?U&}B{+jEN8r=jPVhga zgz>z0ct==Wf%=U-==G)RiOd`Z#wn&r5)(N2I&K7n!P(nJK=89e{v_@X6y?D0KE#WY zzajakp}jI<^PSjh=6I7WC{lfk;5qQD+mUqjkS3>y#gB5;I@L>ETV8j+hTAvyW7{>z z)uEwhS6em^fVt4YqU-VXu{R8A1oies`wXiQVe3Ip7^`)qAFljOJ0wv&EIYk!Fj_^> zwQ=-dFw=up{RAwLnIUZLDC6H9E%2`f6P&@~Vl)soehG6SNo1{szxQ;nf*0t~$vAqV zIHS3R@b^IR2Z9(-{DEWlcjfxnb8j#dIc5BVTS9&^w2AJiD!pVrdDQOB?!k(L`1q62 z$YAC=#84+hep!CV^R)s~im11$yVg!B(xK@>lo)gm(HJ~_D*T(VpT_XIF!eabWiz+2 z0*W&;nd!xqU^jXP_8nF#StYB+q12e(S2d@K?PE=`PX;=x{Jfr|B9yHsq-gqgI(I>d zt@z?MK&NAluKw^g&OA7T`s#r5nXJ{1dnDy}VnS098_;H&oaJ`SU9)1-No5pH zo4EIhKqkC}&E?c~sMT9FnbD6jqWas=togztQE&bxI| z59$B>s(cWJKXA!9wrlhn0`EGmx#ykvm!V4_7O(lh^ig4bC77&Y7zr3R;VD)onm!bu zaKw7gjd=yXT?4c0EsCNu!0a8U^s#XgclD?z+Z-V)O}?rrtJ^nZr%WYD-iP)^Q#7;$ z&~N8cCj6|_yQ6GmZf=e_8k00TYhe9I@+AAh6B}BR<Sl&#}Eg=EE$+@{bWAIV+lISx~_dIJd|JST1R=2d43LmF#$R_f@O|?Hh za~u)3%|+SzdfBStUMgUQ=H3x1%>;y*Vl_Xj?Pl>TAM4qPoH@VO>qKR zr??@iHj3C?eM(LvkALL4Wk!9_>?}1=!Vsnq-QC6|g+oPeV&XUnv!)3H&I+#8NNan7-k{K&| z!trm_lGiK5tz*BGt>#?HS)E8vpH42jGZwUawXm?z|AF0Vl5h1=W7?bUJN69Me_HK` z#0E=xU$u&M4H+ZaN1{;s z>J~8qIz!c}ceo|{n1?E5#j-fHk=@k17N1bh)DJzl1$l{1;3qp-jah{W8MoeA8Vsku zJ1i@TtBt@F<97No-bjB}s!meGZ;C?k#A+7WXtH8GrV>${q!Mup)~k;Ua+BTj+O5r0 z6UGGMMqm-E zuE5Vc$vu|KK@+@U`znfEf_lR-+TEi^xOKl^;BbT*89gs8LV3lG6?7bCJ~Jpp5FJU^ zf3j=DP={=v=CRUbL5{GAl`Rpp{0@^d91SC8e}Cu(RD3)goRE-PeVSuUDW>GuUQpH2 zN-=bUAa*om;Af7)+Rs@XX#f30k<*580Oc?)N2$`V;Pci?wJho%nDgdVb{mOcrA;$PChvbfebC(by8QnkPEJpFX!Txn15?UKS-k-v*%A83D597lmv&RBQ6+!4hNc54B#o$xy-J4)7cBniEYcj;BLUVy!~m?_P* ziJYFv?A$jsJ=7sVO+cp}a46#w;pvmrByBbB&fc$CI{vKBGO9SpBO}Lz#%gJRo{F{A z6FfZ}W|na^W6`D8GK!$)u`(Zc#ZF%rO$Ewzfj9I4=y4buY+1h~1bR+O$2SX|qe1&y zrjJ)YX2HHzlim~b^ILU2w~21zaQvCbsDQ}*n&-Y$&FVhwvYX%8oEz@mx}PKZVygxx zS3s;d>nlM&Yux_wF?7);THLA#Yrgwe%r3{Zb79*0$C+#&qlsmo`3-UJc$)$}uckBff4)$kB7Z%^aDYFB;?IdlBS!Qf&Oz-98sqfVGTz7r0TNgB0Sg@Sk zxzdZVGMr5pWTM?g{O#|Zr~-Aw?xUt2iYi?RMU9rVVG5jK9{JPN!QU&~kPmndyo zUlJXikMd4sw^pW~WaEGeL$;CiaI0Rl^MVGw+fdy(_(1g8r@XN^L6_i4?bwu)rf(+| zH1SWG)!nY z)91*EU0SuMNsU?^2x99UiBd;Zv|P)$0T!5*4{%QbdOa(!Yw%riTn`1$ei zl0qB*y|g%yx2i@QaDKyh9M`;9u(yQutWhf-Tf{JTWG+ugJ^$I&hi$XCLT%^dsKB+S79VxJo%kL`0&P+ zM$>8o!!#6NBZf?zf!~0#eyQMC?9lCVF$XOxybl8I>+nE0!TjquQ|afP%Way%#)0%E zmuT;|g6h1!!QJf)xL>)a$uFe=7jj(2pIwNLguo_4{!OWQYg}! zlN>o2C&R8vemuE6U`G@5vw(?fUGrporcUu5INMSBg{=(LFmf{m>A8eW13Bhs*BuMt z>+#fGT?S^Xi*~Zu%e!Bu0)0hhqCG__-C5*V=Or61;I9n(001MHHV}x|79{kr1HPNu zCZ<16#ufd9*5W5^*)ZJi|30>dqkDx?lI;GiVdKyaClqA_DjxD6Ywv7W^s(6R($M#m z+rIs4EhdJVvpC+9&l>GocX*O-z6{%gb@C#UCCSMzG1HrbxM9@dhwS}R+G3rZw}Lei zfwbGGMFQE_lJv3+*FUC(TLWx>8Ck~NzN69yEQ6OY_qD~8$k|smMNf3KLi90m<;h8TMp?>BPS4yGJzg5Cuw`7<^~zTS z%-8oP%B-~82m=$^3Vg^>yu9Qf2Ce+Iod0bofQ0l;Zb~W*xsizDvYTz{G{1V7&!NYB zY*asRI|H(i`&FlE%G|$gN;nT9o$19dYdSltzso-Lo-h!z96tDuhd9FujI!lT?|?FH zUACc+jq#DZPUH3oIjvpaItuRTzB1Fe?iM&{F$zp;*>K(qrz}%a@fO)3ka6Fg=&LL< z+r=1$ltABnd~tQ^ZCcUQF~DYR%W67?VE*ZWXa4g=YwwF(!%M2OyebsOA^2?qtmIQ; zzIZ~o=$?SZ@a~{c;+JiA311m5^!uGpVK&dAg7aew2%6ykZKCd)fo1H7t_ol#Lsqp_ zar-dSWB3o26u}a5Gokhn%)D)~x-@0`I)>M&8`!y=)n+9naqdZnee#nIp1Z~_x^(KW zkb)B~G|z~IE3@Xth0?b2pr3U?#cexjMKR_O?Jh7fgUM#cnkBh%6@$Nd0|VzeeShxX z5|WRYp@m*AIME<%ZcH^?5A5hdJYm%WlwDaT;@)5S1gUy^Dh$B2yQL$idE}r?URl6} z`q_G|vl=PFdg;#93(vkJ#R{pLE41D_9)6-SuHD4}@7pU*9Z)!L0w&&RkomCb zU88;(Y0=u^!w@>Ig%uzn)3)1H5!x4Npe-<_ zcsc&+F=IH@(D-DRtzG8Ym!Eil-8|6IkD!Cy^P0&G;r@#Uu=;GaN}mJ>@h<_9bZ{*n z;dlzOoGagq-&viw^LgL)BBonvNyTAi#RU*b=4mrr7kByufoTo}KYir#&x>Lg=Bh=_ z261}53Q0oXfQZ_69YTrThp8=;(kk~K@$3zPv@k!LweJo)Z7Bl$akkK9V^ZNUw%U1tuxfs)bj< z?UxI`JA647V>YwtX~bX`HWou#dA+v)p(L5187X&t>s%?2v`mlZou`V zp*YoWf=n*LI28H8cJoA3^20yRI(AFeo*|?;3@8)R-wqJKb zMk2(IXf5S+hhV$;^OLlRfy{Gf4uQjvW-C&%K&zUO?8Y#JjJW}51%fer%^#GmAiY3= zFmgrGqM-<82+hKD<*vG-&nqwq^5C7zOL+<(gEzhbwzheGzHcb8Iv@ey6@aO()3}- zKc@iubdm*|bkEs1_Oqxr1bMr=7N1;K&kWTzc^KzOuAkwZNNHs4v(@NdSQ>VZ#PWFd zh{ehRmipL2ol2WNZ8VV@kD+AG*CTdf%r|!hT-sZ@#!Y(1(8QlAt=pzw_}<>3`ujqD zIst@b-j6Ag1RK|i)3IFsDtcbM9|r5i%EBwBN>lpO$ly7;e3a^v!M`yW_hRugmzoL$ zZ(!DZ?$h7Gprs0-D;N!%Y@)MM{f0jw;9&qAynpsSKpsPzj6aZiUf>TGK*aD3FgTMe z>%1N^(q4)fP&$WsT@tvVh=JC*bd;ihQc9*j!^do7P&I>8^;g;AouvxQE38(?c&J20gU&??M+7I`0CA>NWr3C8YGj%5Z2QV#mwr2$4POY8EaN;JXM=o^iB1{Zo1 zTw+-#0HSY^f$}+kjk^?vGYv9oc*o$d(0!|T9C5QktVq5}L?6gk_e5KNNN9wj2NiJ_ zW=42kW_u({f$ZiCB;~4SUZDQ$wGyn}1!*BCT3g4?Q5d}!x7g%%`J5t}kAK*IUX9&7u_c-V+jgtrmT(G? zWV>`DgUe~xc=9it9F8Ig!RTmWEbdIegtm3Qzhx^^)sI194(#>FKRt~Hbvdit67XZ(&vs1|l0c@nUm8=znQi0^q zS3#9aI?L}S0fmv0G?^lZOj-7A#CuPfmd#PFXD1w6TMr((Hl>PpcPW;Sc zXhJym45K>DnpH(AM<@t6fI*i=3r0Y9$vOST(q?~T)=dtO!rqr|i};ZH8}aGB0yR?zE(j#JRJ?=t6ZOq%T$Aj&~@j08oB5fr0>~N@c#Qe@q(%{NrmEzB-`Vx8-%QFBE9o$)iaCRjmY+F#MObo z0kJrI#;FSLiL;q!dc9Z~uexh0ZY2zu(BIp-#d^oR??+4AW6}C!X};;m2(dRX7t0aR zqNmQqC@QaYe1vhZ2gFPsKCbx!It&QI`18=w)o(X)zpHyVbjrT|i2 zC`>SV4WqLw7qxZXGeYQ+zYt&A#amBn;~VR&OrxGM3YJ;_94XY5RqpC{BgL{7?*F1}G^Jtn<*3@%h( z`~D*LSS!aXyzBRF4ET=x29jL+4Q?F`L6W(UUqAN`9=Aa}4zHYB z1FU;F?$<|zV5u-uNPG*4*o4TqUFHr`miA|ct<%IPj4CZ#fIY{s&(@n&(lj2JD0 zBB)Cyj}3+rQ%T2P>+V>rsn3BCbH%L6t^U2MRG)+;!3Cchd_-a1`%C%3u@#dTf?Su) zuGv;~KC}O65n98D#Xem{w%du09%lFfH>$$IN=|y`LcGV9Ix~a#zTWJ8N0IYX)4z)r zSFgEA+lY*lB!j_r$-)_tbFVjC($tp`eQ64vw9K05KvNd}*n|GHjGU&d<3#^#=GWkw zE~-DN51db*IhAQGBT|U#z=dhg5sb)a4E?PE(~594a;@!Uy(o8&v8Yi}Ymwhp5)#kZ zfn_(TD0Um@UnmLj{Bw!X%-~SuWgFqxO}bPh!!lG`cO+-3;)RMwv;m7H6=~~N2z>m+ z4F?k|!@6DYXq>f3d?uC!dq3?$GMAk52mkA^GoRRLf`T|!-v)t6ElUBxnpragwy9t( zhCFT0w)&ciRE?z^^;CC{O`%#0nr#@aw#;zxyw?43WV!k;xO}6-!W30sp{QF2TfOvY zadY8~ww%5*Y8f}&PhMF7iqpnk5}_S_`|ALlgWZLsE;;pokgZyG`(jb4P>LVqq6#z2F*n( zYAyGn^~F3URo>yq`-h9Qqj25z0#ZVvgu%DautKI_^3qGiMGXAn>B+(`N0?!F zu^V)_^kG!>?`4eHoX>PA?aC3TWW$55d&(`(`1QeHJ(V8X{fHd?i%;;d%LAt zI`0O@d>rImtBWBJ`?cG0$RCEmydvzV_i;s^{1qG$!x-acsZkLw*&mfFN_h*SRryjX zWFx%MZF*6&Q@(`T0`~-dUEq_R^a4yISv#Fqt$E)sXUx3MF}8{99Z$TYbT-HGN3Oz! z&&s2>`My+spEjPp(^5giR@DETyK?7)i>Ym;fkLlsH|wh-z>Ta7=I2Uvb|sH^1uc zKu?>2DYg$4pf2N>#8+-D#Apw|W?kh_mt{sGm7I6=Qze(k73{{(A#cXKJ2z~-4p z_tN3o%U4|2NaO@9dFuGJtusMg9^SPPEZ?my8>8JDf_4~H2vM?64e4t;K`IN-&E;`| zkl@hHpdZI2ywGJ%2+Ki;7B#PwQMskz+293q{&}96e2dkajq;o`Q?DBn#2$=hH$1TP z>sPOyicO1zm>$EVfXxHA9Ifo{ptodfON#xHnGX$c_5M@s8Jcn^@x%Z=zG)qi+A9htp=o{>m$J zhDeSM4yi}gYyD;XH`8I4j=Zc2CAw}3tQQFX&@17uq08yF#@mFHWL%w}=0d~WrdO{! zLfjn$X>A|!I(}5jdu6E)O~~hL5;Q|He=*Bds1D2Ju=KM3wsXw85j(fh{0of06!T!| z6Ep>${ebA!3WJ#BlbMvKJWZdSRLM5YGGDlnGgCE2==;KHDK|%9lKe2ApDcc2-wNnD zxG44>-J{t#@nvLZT&QK^L1=(a%sK~M8Lsbz<%yN6vG3@Y)Fmo5-AU~n=kXKwsn8cm zcu?S{W=5h9&zQ5%Z7_-QV{?Z zgT1CF8UCQsj)3C6M=joY1hAqTBZi28p|$!B7AatrNu^DrFbJi|U<@w<1!m?L+a zzRFE+OK+DU7HUt0jorm9H5T`VPc9ElRhv zm(*00+>PRGV9H$a$kvMuC2Ax>_6U#`P}Er8SS7D#Q2UjURXhhdYm9A#EF@z`^AQWP zc>c+|jR^v+7jMd&xq#n;4n5sXSKZ!n6R9gD^JYR0xpD&iUh zsNOaXF+4QZFi8Vk%(xV0(Xvg!vY)LiOT$+*AFYZrh~|+m#ALjZr@ZP@ZkbY#6(lJC z{CfN;1b^leNFc}{+c~jq9Vyj^{ppHTC)?CX)q>p};WLIhNA}OBA0||?QJgGTNN8MG zm^>KU6C50LAIccuRv@KAbpAj6I&Ehk*kBD|UVGhRKUorFRlD?O7mt zfH+%P%o1YmmAj*d(?WhH2Qq+?VH9V;(x19^Y8SjJGYVW?d=Q7a|IK(JJ}el!z0H32 zz#c4j`Jk1sPla~WAX-=Eg>#|;L6niS_lW;)J{G|%_K2^ ztxC3Xd!Mn)@i;+C$Kj3w1N@^$#q)ROpmg=6q>{5dbx!#gQjjR&#D37I7{wVYZsGhS zECCC1a9pq2q07R4FG?sLaflb?i0&+Bqn8XoaH20DJEs&Z7u4dEosvIqz2lNVjY4bQ z9FM`VP8p0IM^mBb>X1!^)=k6F!j?aQ#u+4);fZ1nBQB3*-fWZPpVwAhOmp3L58WrZ zb;P%VnzI~sAH~z;m1}(>|3s^#T#51mQCnijN^+!;`I{=-kLD7!_o1*OGLF*;qtz%< z+qU=q+XJCg-DG0nV*4*R=Lp;<|7+&Qr5QOBL|qxk+HLF*ip*7MrNVk7h_tf6Z8YHO zYB>vh)UNGzypF2m_6?42jRgU)RM0D*>BHL4460l0ErZLs*Ic2qibR_pkB zio*OWRH#MilhLgnq_^ssr*TpB_ZGMGp*ZCS4};ioks5V8?jk$ZTur_xqEw(#zo`%| z*#&VP<6RJkw&j-B1qz(>h1{2ig84jb=@iO%&Pu{kIo&w~!%KBb%-8+x!BOwBt{4BCLxz!^W6O$8R*Ugx6| zyMJFQem#hkKHG=yCx}K~ccfq{D$mQbx^lw-yb1I>cm{kRE2LBJHgOV8XStoLi;?Ad z80ca4@)jL^lg2T$HcS_K=#30AOlH{-cL}B{17-{Weq~2SljPnS!s!?-~@3 ztOFJtp`W(S>aRi&!+O4d5^GP7%%WWUWLooYEbpJq-^w|0$@_lBc#Mh*R4hwdJQ)2r zC9QfLdF(wQ$qCQPlR`4bFXVs9HZ2SwyJY+B$vJf~ODq40?7PcaW9w0a9{`Ou>W9(r zOWD_wWdvMvx!68r*9T$QN^AgzAOWQ7W=<27s{&GCNAjeAWG(b~$w0*@YWtb^VFL8t zYFD`er^XJ*MrvhQMAuys*Lp3jxfgI!7f<6 zhk9h^_vyaMggvpB8chtqzaIqe9>Xr|nU=mw6PRpT(onnQF&;{Pr^y%n_*T8nGmcsf{f?6_; zStc~W3|xkOM!54iWLTt_afZ54zD5w*U)Z%P?vexxcG4a-3!}}=OJ{Cx{1X?PZFc?E^I;}(awNWVdVH@)IHWIg9Uq7KQNIk@^-!|e$uy+4;ZE`*7Iw*BJHK^>D z=#XTwRlfry>=JKEpk$u5cgB(Ss;gb|smTFwM*{Y^EqEN48X?o_KYSy-IZn`*sA!sRzn44DdPgXAEE5xl?{|*y zHY;^b`Z7sB@+UZk+JMxSPZ)v?S4BWeYt^+jE_N}n|Ni54Lj*^AjSGxu0dwT7O1m2Cfh_d8uhvxylg!@-)g1t+i9ABL_6FyDOHS72Celq%b5l*I&iOj9at}--$9S|)Lnt`vWWAi6 zfc+nLN0jhg$z5@_Hq|&!^m2DE1cg0M@nkYJ7$*f3?pOP$2@B6QZrM@1XqjOx*KwSz zao^}l?taZEZjVjGdN$Mk@#T4YtK)gr*;+=W^$KNG&4GO?5zE(^+4p-acw_WRW>F-g zchz4xk*dLq-yd1MQLlWI|0`4oZv)HVl@k}bsb^gYGHT3qZym1oxRNcgP#}Zypx-Cr7Oh2c1b|0YZ`pvb8=+9~ zIj*r_kqVXyRIrMm+7IWg-B52+pXuQ(>-7sFoHxm~)di1%pObNd<>tQQsWJ|2{)!w8 zeqA<{=+_#o*y)be1atOit=6JK_t=5$dzB77;bs>XJ&Ah^d zb*%g@u-zu0lO_QRwJz%`(_(2?f4lf$BnsqoSX)LjaWTL;Ys~#LbFoKUXhLoBZEI{kG3`O$ugm+310!h;<}ktTBy@D>B$8dc4)|2Ik?)z^i&`fl-SgyzqJosc9%w6rJt%PZC@a6Ec~`P+ zMo=vL;mY;G@_4VfyufdRuL?$^`wLg*esS%Y020wMU(Pd1Y_2G3+URCQ-UyE1_&0SK?>+@V&u32+hb0>O7#<)q$ zdu;z5|IyLE<|jnSGeuzugZ-0u-)&mmwno%fBX-}qI1VrE?OCXnYD}1^CI@=r4G9c7 zC9|5+Fvq59%-q6)4UX~%P)k^_K^B?jnq=Ijh!fm?2feN1`+OcQU3oxJdkYNK99r!SSN~fxoL5lxv#fkRaT2$o>-o1UJzj8->VG@ zp&%(S8Tf9lOds+kVsT}`z07J!{`mC+C?f>E|C`#g{gmvBHjRCPq1xqjdggrp@yoUl zY)E7XYLX-PV?!rpmW6`1nk&IYL53|1IxruW^y0sKg2wqj|uyY)4f_mQPmErqP zDk~_W5QA9^v7L>2b18HaM74+Hv-Rv)&6PIc(8L0xGPN2q&^Uv5z$aR~II__DPKzX* zev$IuURAx+i;{Q9Eq)A zi~Hh%z0vlOs{`8=;t$j%NuM{@jAOGJceM~UI0t6@3N;3zG_^ z)gMFso%%3E?>Ds=5(Uy=(+*hC*JvWgD@M*0dSlWlO`|19@2AeEjF*$+H<%R@5C24J zNdb(Zzr29d*H)jT8AVfa1Y4_TLMV}kS11RhWB{BhoIA zv|b?}>Y0QC4xLZsSZ@H zYX-jh5!0XBaqKQVay@bYBfNMn4o}R77%5zBL3rwQ?I?@cb=;KCujL8-Lr-e~CRo4_ z&%ooh5n4Fkj*3U#Am4->jhmeC9ILFAFCbTO-Z&>tnSyccx|wn|WIXY8%ta*Vbo__9 zq60ame9fYc7$Sam@4r%|VSM+RGKw?E#8%V{n7_F4Ha#2dA$3K>0?M_o3K0U%(~Vr& z2ZSXEi=VcQ0PWoHzjDDD!Kom=P~y=CQVF19!QGlco$XV)<+_!Qb%f(w)-V3}U!EiR z$EmEpNuj^96|+uo`pNbOKWRhxEAv!Vc5)5g_C)b~J?VAydF1EV|Mhby`)xaOLAf&p zMt*PpAI?XG{Nt&pp(fB#Y(MEqm&zhckHmlFwForVhICG-EF`>LFJ;aM!Y2@m*FZsY z^&y-|nn6x$l$#U0+!uHT>$DWa^Fb#`5O}^Hbw~d{FAvpDQlnav$(0%n#;%@2WZN^) zZ}%j@^suRs0g99nvu*0ivCXi%$TU-vie3P?xY{+?e_#6zkUT`mZ(+v1;<+Vm-VUuV zj9y!I?6HS5u|eEbhnI^bV(XUJeSoXUO5448?AsEcBx;O%J^m$AC)XRBIcjIMor3Yo zGD3w6G6NB#z%7ufMFJ|oqeI&>G3%Cv6@Vc&x3BPy-M3))%}a5ss*BHF@9}BZm*9Im zVq;J~F83Bf=OUF_vd55l-~HW2$(8KNlrvYi%h^YI##-Ko?!$}HAhS9D+!%gSqwZ+}0tF3#NK@G#Zo9Z55WeSZ zCKr@csT0%5(=n>>Zu2rVmG+K}g^kI_WNCMY3IPwa21VLd!D#}c=>(x;>TQ0t<4W@< zWxvj=?hPr27R1mY2-OFMT%4Ma@}2HgEsa%M-`qwhI2u&3XhZSb?L+3X2j1AoP;s}3 z-g2)8y=<>C69b8Hp+s?^*q!MWy>(>-sDR;)Liy%3^dO5Z$LODHV#sIQ;&(Zk?`VI- zexkCQ%z2{ovPAfDs%Ntgg!H4|-!D@fR~{{_Od21Bfs??+G(tA_;>Qq^3gDL9H*&fE zWPRl`fY!z4W)roK5T(BV4##3te3s`@h=uZLYWO(tVUmCs(<8ZaWW_mq2$=D5!l~|8 zx&mgKW+%jbzX|eN(^1c}`o(A&-MRz>zPzHWa={U?v{^^Ojxa#Pj*W6K+p__10|SL` zLU8!wB_H?uZPU;Qixd}72)pp&OD^dCQcS+SN1@18lX&?tDZT!S@QK?ktkH4ax{Z+h z_h=}`fsf<1q-RmTiXwF^%4hIB6bn3gK`3hYh`4_Dt4r1I+XPL?{=kJZv5VU=>g!fDG)Snr_4)>RxV{wRK{>~J4B_7 zi^lzYr;QGiEQwDGpb8_Q=XmwlJ=9Mat0lkob?4gYrxfbnb)%Cssna0(6m(;%%~WH1 z9%FscuEl*Tl>^f*`>Zb%7Dz79PFxn|>mOE6++41G1xI%x^-!yo>C_$y#*aLRi$Xt* z#=g*E#Y)+MBXo>(|H_ULic2G&*v{$<$? zxP8;M``jKL{gbLMPQRj9eSXx+x3smu&Nzmd7T0_T6Ui3IAJ;37n3L_1V)xIDx^Da| zMwpr4_00=In0X}pU-lPGeIBwAwpiB5#m^kC-@+$f6M?g=7l`HbT)($e~fAk3UkpXWs!QR=@8`HrJM-Nh`YA&L0QDKgtz(R)y`{TxEyc|oP6P73iJQvdND zApv*t$_%rU@IKc<0_!DzSBBZ;-shmU}EoouRijtO{6^lY^T3Cu}{`S#9r zW6Vz%qKdd5|BH^Udnnm<-eKjBxk9PXB4me%dV0;jH%*Zr2zXcIHcxzU7NVhr=CIj-QEE?erD%s)t$`P7ds?)otQ!fR=<~x!W4qize7p7 z5N22c)jh`NkP25Pa~Ku$C0s5i0u)iQtp!{8`7Eek+JXiF`W@huGdl?hd-(}h_|6_4 zKmM_1b+YCS^dCI*Mp_mEu=mz0XJC@|^FkaqaqZ267a+oOA`HtGJN z?b(gM0`ZWjM4nhwFgrrBc12k5TWo+IoRUz;#EnFlxT>gEx;Z&p$AUbcb$ULuuy^2Y6bG;K+m@P?BBP zKr@g&jNKLq_Z9{#yw)OFxRztG3R^k<+*|$@Rgl6Mwc&@t-HAd)UE9TE}Z0Ut(oQXNg zg6ymy6KCY<*i=$0j=W{>#k-br-q2M@3Znbe4kItqo*TH7BR&rDG%$dNeH_cV_5R_c z1P24~O%%?JV?R!gcYc00*#bvH=AR^kpx|qQ-$=qJ%%>_Y=)jT3QoUk8O(U>zXZ2gS zEbUwe-jlAvitW-p1Q6@m&*n98^-KrMA1r{`9?7bCLK3nLQL@n+jqfGlS+Q{_(+fNm z(-o7~H8>SCLM?js94EKGj($Kd#2+^TL<>kh8skMm=3}P+5DVx+d>H1M)Mky*KD2d!1G71#Zf<=&y*ivNL0_6xt zfCdIdOBgOeIi$5z>7WDwHI8D1h)@d#B9aOyqSQeF50HMZB+=OX{hOC}?7rQ%`+d8v zA!D)k@|*;HZV5W-OJb!$JJ%6fa(!z(P<$XToRfBK*mt9Jd1^5wFhGkSnnrX7h6*it z^le)5HWQuXrzLlbj`(f)!^=3nQw2yztvN=;VZ+tac^|JZZFC>zI`7*)C}A&Ccm-#6 z*q`r&r`n`T&x!fH%#|XFj^~DWQcpdaoN!BTT%e~}NkE~5tPs~1L`n4<-(*36E{ zk&ed=t6#{U5-=o(Bx0RW1x8B9MTutNr(9_s!5$qJ(^mR z7qD1jBM(a+!)Ri6;AasjpC?W29*FeKNJL4nA|UR@#Z&2~jR@)P;jqH&uq6!w|Ei8n zeY{2gALb+*4xr=?;9t_2wi%a$FUM++P@K+nd$$=$sTVh=j-NTgsIOw0@-Muq=dIU@eVNlp% zdk~U-ixt~ADS-tlMX`XlFWR)fw+MEt|JO3(bwM|^eQ62fjuytz6lmxf$ooduN0o6>2@MAWC0>S=D>k2durm^+EHRe@jD}VtiB(AXtpF~u z`b^{`_s*)5lFD59A!G7FlSO5V58f|UTDB52W=eB!iq~hAfv!?V3;Nm4n8-<9v4;q? z!&`WHwT*Afdn#*;+5%EhB;t_-L0|ok-l`zL`XxT87lXA6re49-(KOxa1L*;dqpGsK z@seTFqlS*?+vOg{vVn3{LQ(yh#UTO0{Q55S`s8@cUq8tTXr4uwHqmkJxTVHa5Q({I z0_%7+=6<~{?p^kVRAJnUD-Oy2r+d3szN06Gwx$pp87FtTHxuI?yy4vLCGxfxSJ}^0 zaNqql$_&GfuGpUt%*VIu!>{5=`<48oAeGH}Bl%pytdX=|sWf~}s)pQfnc-=mhtw0c z(8u4?6L_Xe_{ZiR?Hh&jiGU8e?-P?{U&{Fi6OvoF#VQ%2Ur(?0*Y~#O1%ywz21B6jZ2HqC#`^j1ooVaH` zf7?rRY Date: Tue, 19 Sep 2023 10:32:53 +0300 Subject: [PATCH 21/35] Update Multiplexors.md --- Basic Verilog structures/Multiplexors.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Basic Verilog structures/Multiplexors.md b/Basic Verilog structures/Multiplexors.md index 94e82243..0d30009b 100644 --- a/Basic Verilog structures/Multiplexors.md +++ b/Basic Verilog structures/Multiplexors.md @@ -124,7 +124,7 @@ end ```SystemVerilog logic Y; -always @(*) begin +always_comb begin case(S) // Описываем блок case, где значение сигнала S // будет сравниваться с различными возможными его значениями 1'b0: Y <= D0; // Если S==0, то Y = D0 From 004b2c3bba2292515ceb749c581d1304bdebcb00 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Tue, 19 Sep 2023 11:02:52 +0300 Subject: [PATCH 22/35] =?UTF-8?q?=D0=9B=D0=A02.=20=D0=98=D1=81=D0=BF=D1=80?= =?UTF-8?q?=D0=B0=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BF=D0=BE=D0=B4?= =?UTF-8?q?=D0=BA=D0=BB=D1=8E=D1=87=D0=B5=D0=BD=D0=B8=D1=8F=20=D0=90=D0=9B?= =?UTF-8?q?=D0=A3=20=D0=B2=20nexys=5Falu?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../board files/nexys_alu.sv | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) diff --git a/Labs/02. Arithmetic-logic unit/board files/nexys_alu.sv b/Labs/02. Arithmetic-logic unit/board files/nexys_alu.sv index 85a2bf67..82db55ca 100644 --- a/Labs/02. Arithmetic-logic unit/board files/nexys_alu.sv +++ b/Labs/02. Arithmetic-logic unit/board files/nexys_alu.sv @@ -26,12 +26,12 @@ reg minus; alu_riscv DUT ( - .ALUOp (operator_i), - .A (operand_a_i), - .B (operand_b_i), + .alu_op_i (operator_i), + .a_i (operand_a_i), + .b_i (operand_b_i), - .Result (result_o), - .Flag (comparison_result_o) + .result_o (result_o), + .flag_o (comparison_result_o) ); assign operator_i = SW[4:0]; @@ -93,4 +93,4 @@ always @(posedge CLK100) begin end end -endmodule \ No newline at end of file +endmodule From 4535d75f264da90ca09f0f3177955dff3889242f Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Tue, 19 Sep 2023 15:06:20 +0300 Subject: [PATCH 23/35] =?UTF-8?q?=D0=9B=D0=A03.=20=D0=98=D1=81=D0=BF=D1=80?= =?UTF-8?q?=D0=B0=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20html-=D1=82=D1=8D?= =?UTF-8?q?=D0=B3=D0=B0?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/03. Register file and memory/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Labs/03. Register file and memory/README.md b/Labs/03. Register file and memory/README.md index 6ad9ad5e..b5fcdd25 100644 --- a/Labs/03. Register file and memory/README.md +++ b/Labs/03. Register file and memory/README.md @@ -230,7 +230,7 @@ mоdulе instr_mеm( Однако, если у памяти будут 32-рязрядные ячейки, доступ к конкретному байту будет осложнен, ведь каждая ячейка — это 4 байта. Как получить данные третьего байта памяти? Если обратиться к третьей ячейке в массиве — придут данные 12-15-ых байт байт (поскольку каждая ячейка содержит по 4 байта). Чтобы получить данные третьего байта, необходимо разделить пришедший адрес на 4 (отбросив остаток от деления). `3 / 4 = 0` — и действительно, если обратиться к нулевой ячейке памяти — будут получены данные 3-го, 2-го, 1-го и 0-го байт. То что помимо значения третьего байта есть еще данные других байт нас в данный момент не интересует, важна только сама возможность указать адрес конкретного байта. -Деление на `2n` можно осуществить отбросив `n` младших бит числа. Таким образом на выход память инструкций должна выдавать данные, расположенные по адресу addr_i[31:2]; +Деление на 2n можно осуществить отбросив `n` младших бит числа. Таким образом на выход память инструкций должна выдавать данные, расположенные по адресу addr_i[31:2]; Обращение в память по адресам, превышающим `4095` должно выдавать значение `32'd0`. Почему именно `4095`? `4095 / 4 = 1023` — индекс последней ячейки памяти. From 3cf8ec2c9bbfaf4e2cb19280e5b63c42bff70cbf Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Tue, 19 Sep 2023 15:31:37 +0300 Subject: [PATCH 24/35] =?UTF-8?q?Cyberconverter.=20=D0=98=D1=81=D0=BF?= =?UTF-8?q?=D1=80=D0=B0=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BF=D0=BE?= =?UTF-8?q?=D0=B4=2032=D1=80=D0=B0=D0=B7=D1=80=D1=8F=D0=B4=D0=BD=D1=8B?= =?UTF-8?q?=D0=B5=20=D1=8F=D1=87=D0=B5=D0=B9=D0=BA=D0=B8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../README.md" | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git "a/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/README.md" "b/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/README.md" index bab05504..48e555b2 100644 --- "a/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/README.md" +++ "b/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/README.md" @@ -144,7 +144,7 @@ [cyberconverter](cyberconverter.cpp) — это программа, которая преобразует текстовый файл с инструкциями архитектуры CYBERcobra в текстовый файл, который сможет принять память инструкций. -cyberconverter может обрабатывать файлы, содержащие комментарии (начинающиеся с `//`), пробелы и пустые строки, а так же наборы символов `0` и `1`. Комментарии, пробелы и пустые строки удаляются, после чего оставшиеся строки из 32 нулей и единиц нарезаются на четверки по 8 бит, конвертируются в шестнадцатиричные значения и записываются в выходной файл. +cyberconverter может обрабатывать файлы, содержащие комментарии (начинающиеся с `//`), пробелы и пустые строки, а так же наборы символов `0` и `1`. Комментарии, пробелы и пустые строки удаляются, после чего оставшиеся строки из 32 нулей и единиц конвертируются в шестнадцатиричные значения и записываются в выходной файл. cyberconverter принимает до двух аргументов. Порядок запуска следующий: From b1924b555964a91c52c09a93149da17d04d868e8 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Tue, 19 Sep 2023 15:41:07 +0300 Subject: [PATCH 25/35] =?UTF-8?q?Cyberconverter.=20=D0=9E=D0=B1=D0=BD?= =?UTF-8?q?=D0=BE=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BF=D1=80=D0=BE?= =?UTF-8?q?=D0=B3=D1=80=D0=B0=D0=BC=D0=BC=D1=8B=20=D0=BF=D0=BE=D0=B4=2032?= =?UTF-8?q?=D0=B1=D0=B8=D1=82=D0=B0?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../cyberconverter.cpp" | 29 ++++++++----------- 1 file changed, 12 insertions(+), 17 deletions(-) diff --git "a/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" "b/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" index dce2c1cb..ed8dccd0 100644 --- "a/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" +++ "b/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" @@ -12,7 +12,7 @@ void print_help(const std::string program_name) cout << "CYBERcobra program file may contain only comments (starting with \"//\"),\n"; cout << "whitespaces and binary digits '0' or '1'.\n"; cout << "This program will erase this parts from every line and then convert\n"; - cout << "32-bits binary strings into 4 little endian 8-bit strings in hex-format.\n\n"; + cout << "in hex-format.\n\n"; cout << "If output file omitted, the _converted.\n"; cout << "will be produced.\n\n"; cout << "If input file omitted, program.txt will be used.\n\n"; @@ -109,24 +109,19 @@ int main(int argc, char ** argv) cerr << "line " << line_counter << " length is not equal 32 after trimming comments and whitespaces" << endl; return -2; } - // split 32-bits binary line into 4 little-endian hex lines and write them into file - for (size_t i = 0; i < 4; i++) + // Convert into hex lines and write them into file + size_t valid_char_num; + int cur_word = std::stoi(str, &valid_char_num, 2); + if(valid_char_num != 32) { - // For every 8-bit part of 32-bit line get int representation. - // If illegal character found, throw error. - size_t valid_char_num; - string byte_substr = str.substr(8*(3-i), 8); - int cur_byte = std::stoi(byte_substr, &valid_char_num, 2); - if(valid_char_num != 8) - { - cerr << "Illegal character '" << byte_substr.at(valid_char_num) << - "' found in line " << line_counter << ": \"" << str << "\"\n"; - cerr << "Should be only '0' or '1'." << endl; - return -3; - } - char hex_byte_str[3]; + cerr << "Illegal character '" << str.at(valid_char_num) << + "' found in line " << line_counter << ": \"" << str << "\"\n"; + cerr << "Should be only '0' or '1'." << endl; + return -3; + } + char hex_byte_str[9]; // convert int representation into hex string - snprintf(hex_byte_str, 3, "%02x", cur_byte); + snprintf(hex_byte_str, 9, "%08x", cur_word); ofs << hex_byte_str << "\n"; } } From 3e0b149e822eae528d6fcb08a3cf9e6fc8e66dda Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Tue, 19 Sep 2023 16:07:04 +0300 Subject: [PATCH 26/35] Update cyberconverter.cpp --- .../cyberconverter.cpp" | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git "a/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" "b/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" index ed8dccd0..f689b74b 100644 --- "a/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" +++ "b/Labs/04. Primitive programmable device/\320\230\320\275\320\264\320\270\320\262\320\270\320\264\321\203\320\260\320\273\321\214\320\275\320\276\320\265 \320\267\320\260\320\264\320\260\320\275\320\270\320\265/cyberconverter.cpp" @@ -111,7 +111,7 @@ int main(int argc, char ** argv) } // Convert into hex lines and write them into file size_t valid_char_num; - int cur_word = std::stoi(str, &valid_char_num, 2); + uint32_t cur_word = std::stoll(str, &valid_char_num, 2); if(valid_char_num != 32) { cerr << "Illegal character '" << str.at(valid_char_num) << @@ -123,7 +123,6 @@ int main(int argc, char ** argv) // convert int representation into hex string snprintf(hex_byte_str, 9, "%08x", cur_word); ofs << hex_byte_str << "\n"; - } } ifs.close(); ofs.close(); From 5bdc5cc032fb64912437fd118497d8aeac2a651f Mon Sep 17 00:00:00 2001 From: Nekkit6 Date: Tue, 19 Sep 2023 22:49:45 +0300 Subject: [PATCH 27/35] =?UTF-8?q?=D0=9F=D1=80=D0=B0=D0=B2=D0=BA=D0=B0=20tb?= =?UTF-8?q?=5Fdata=5Fmem?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/03. Register file and memory/tb_data_mem.sv | 9 ++++++++- 1 file changed, 8 insertions(+), 1 deletion(-) diff --git a/Labs/03. Register file and memory/tb_data_mem.sv b/Labs/03. Register file and memory/tb_data_mem.sv index d7807cfd..1f1e686c 100644 --- a/Labs/03. Register file and memory/tb_data_mem.sv +++ b/Labs/03. Register file and memory/tb_data_mem.sv @@ -103,7 +103,7 @@ parameter STEP = 8; for (i = 0; i < 4; i = i + 1) begin if(i==0) begin repeat(2)@(posedge CLK); - RDa = RD; + #1; RDa = RD; end else if(RD !== RDa) begin $display("incorrect conversion of the reading address = %h, time: %t", A, $time); @@ -121,6 +121,13 @@ parameter STEP = 8; $display("reading from data memory must be synchronous, time: %t", $time); err_count = err_count + 1; end + @(posedge CLK); + i = {14{1'b1}}; + repeat(2) @(posedge CLK); + if (RD === 'd3735928559) begin + $display("incorrect reading from address = %d, data = %h", A, RD); + err_count = err_count + 1; + end $display("Number of errors: %d", err_count); if( !err_count ) $display("\ndata_mem SUCCESS!!!\n"); $finish(); From ea2eeaac03f7ff2bdc87a2b9fafcc74c3c40922b Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Wed, 20 Sep 2023 16:06:20 +0300 Subject: [PATCH 28/35] =?UTF-8?q?=D0=94=D0=BE=D0=B1=D0=B0=D0=B2=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=B3=D0=BE=D1=82=D0=BE=D0=B2=D1=8B?= =?UTF-8?q?=D1=85=20=D0=BC=D0=BE=D0=B4=D1=83=D0=BB=D0=B5=D0=B9?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/Made-up modules/README.md | 7 + Labs/Made-up modules/lab_01.fulladder32.sv | 11 + Labs/Made-up modules/lab_02.alu.sv | 6434 ++++++++++++++++++ Labs/Made-up modules/lab_03.data_mem.sv | 45 + Labs/Made-up modules/lab_03.instr_mem.sv | 29 + Labs/Made-up modules/lab_03.register_file.sv | 63 + Labs/README.md | 1 + 7 files changed, 6590 insertions(+) create mode 100644 Labs/Made-up modules/README.md create mode 100644 Labs/Made-up modules/lab_01.fulladder32.sv create mode 100644 Labs/Made-up modules/lab_02.alu.sv create mode 100644 Labs/Made-up modules/lab_03.data_mem.sv create mode 100644 Labs/Made-up modules/lab_03.instr_mem.sv create mode 100644 Labs/Made-up modules/lab_03.register_file.sv diff --git a/Labs/Made-up modules/README.md b/Labs/Made-up modules/README.md new file mode 100644 index 00000000..c9221a10 --- /dev/null +++ b/Labs/Made-up modules/README.md @@ -0,0 +1,7 @@ +# Заготовленные модули + +Все лабораторные работы курса построены по последовательному принципу: модули предыдущих лабораторных работ используются для описания модулей следующих лабораторных работ. + +Это приводит к тому, что нельзя выполнить очередную лабу, не выполнив все предыдущие. В случае, если нужен модуль из лабы, которой нет в учебном плане, либо по какой-то причине вы не появились на лабораторном занятии / не успели сделать лабу, у вас всё ещё остается возможность продолжить обучение. Для этого, вы можете воспользоваться реализациями модулей из данной папки. + +Обратите внимание на то, что реализации этих модулей неоптимальны, странны и намеренным образом сделаны так, чтобы было тяжело разобраться в принципе их работы. Это сделано для того, чтобы сохранить таинство выполнения соответствующей этому модулю лабы и разумеется ни один из этих модулей не может использоваться на защите лабораторной работы, посвященной этому модулю. diff --git a/Labs/Made-up modules/lab_01.fulladder32.sv b/Labs/Made-up modules/lab_01.fulladder32.sv new file mode 100644 index 00000000..f715cf3b --- /dev/null +++ b/Labs/Made-up modules/lab_01.fulladder32.sv @@ -0,0 +1,11 @@ +module fulladder32( + input logic [31:0] a_i, + input logic [31:0] b_i, + input logic carry_i, + output logic [31:0] sum_o, + output logic carry_o +); + +assign {carry_o, sum_o} = a_i + b_i + carry_i; + +endmodule \ No newline at end of file diff --git a/Labs/Made-up modules/lab_02.alu.sv b/Labs/Made-up modules/lab_02.alu.sv new file mode 100644 index 00000000..601582e8 --- /dev/null +++ b/Labs/Made-up modules/lab_02.alu.sv @@ -0,0 +1,6434 @@ +`timescale 1 ps / 1 ps + +(* STRUCTURAL_NETLIST = "yes" *) +module alu_riscv + (alu_op_i, + a_i, + b_i, + result_o, + flag_o); + input [4:0]alu_op_i; + input [31:0]a_i; + input [31:0]b_i; + output [31:0]result_o; + output flag_o; + + wire \ ; + wire \ ; + wire [31:0]a_i; + wire [4:0]alu_op_i; + wire [31:0]b_i; + wire [31:0]data0; + wire [31:0]data1; + wire data3; + wire data4; + wire [0:0]data6; + wire flag_o; + wire flag_o_INST_0_i_10_n_0; + wire flag_o_INST_0_i_10_n_1; + wire flag_o_INST_0_i_10_n_2; + wire flag_o_INST_0_i_10_n_3; + wire flag_o_INST_0_i_11_n_0; + wire flag_o_INST_0_i_12_n_0; + wire flag_o_INST_0_i_13_n_0; + wire flag_o_INST_0_i_14_n_0; + wire flag_o_INST_0_i_14_n_1; + wire flag_o_INST_0_i_14_n_2; + wire flag_o_INST_0_i_14_n_3; + wire flag_o_INST_0_i_15_n_0; + wire flag_o_INST_0_i_16_n_0; + wire flag_o_INST_0_i_17_n_0; + wire flag_o_INST_0_i_18_n_0; + wire flag_o_INST_0_i_19_n_0; + wire flag_o_INST_0_i_1_n_0; + wire flag_o_INST_0_i_20_n_0; + wire flag_o_INST_0_i_21_n_0; + wire flag_o_INST_0_i_22_n_0; + wire flag_o_INST_0_i_23_n_0; + wire flag_o_INST_0_i_23_n_1; + wire flag_o_INST_0_i_23_n_2; + wire flag_o_INST_0_i_23_n_3; + wire flag_o_INST_0_i_24_n_0; + wire flag_o_INST_0_i_25_n_0; + wire flag_o_INST_0_i_26_n_0; + wire flag_o_INST_0_i_27_n_0; + wire flag_o_INST_0_i_28_n_0; + wire flag_o_INST_0_i_28_n_1; + wire flag_o_INST_0_i_28_n_2; + wire flag_o_INST_0_i_28_n_3; + wire flag_o_INST_0_i_29_n_0; + wire flag_o_INST_0_i_2_n_0; + wire flag_o_INST_0_i_30_n_0; + wire flag_o_INST_0_i_31_n_0; + wire flag_o_INST_0_i_32_n_0; + wire flag_o_INST_0_i_33_n_0; + wire flag_o_INST_0_i_33_n_1; + wire flag_o_INST_0_i_33_n_2; + wire flag_o_INST_0_i_33_n_3; + wire flag_o_INST_0_i_34_n_0; + wire flag_o_INST_0_i_35_n_0; + wire flag_o_INST_0_i_36_n_0; + wire flag_o_INST_0_i_37_n_0; + wire flag_o_INST_0_i_38_n_0; + wire flag_o_INST_0_i_39_n_0; + wire flag_o_INST_0_i_3_n_1; + wire flag_o_INST_0_i_3_n_2; + wire flag_o_INST_0_i_3_n_3; + wire flag_o_INST_0_i_40_n_0; + wire flag_o_INST_0_i_41_n_0; + wire flag_o_INST_0_i_42_n_0; + wire flag_o_INST_0_i_43_n_0; + wire flag_o_INST_0_i_44_n_0; + wire flag_o_INST_0_i_45_n_0; + wire flag_o_INST_0_i_46_n_0; + wire flag_o_INST_0_i_47_n_0; + wire flag_o_INST_0_i_48_n_0; + wire flag_o_INST_0_i_49_n_0; + wire flag_o_INST_0_i_4_n_1; + wire flag_o_INST_0_i_4_n_2; + wire flag_o_INST_0_i_4_n_3; + wire flag_o_INST_0_i_50_n_0; + wire flag_o_INST_0_i_50_n_1; + wire flag_o_INST_0_i_50_n_2; + wire flag_o_INST_0_i_50_n_3; + wire flag_o_INST_0_i_51_n_0; + wire flag_o_INST_0_i_52_n_0; + wire flag_o_INST_0_i_53_n_0; + wire flag_o_INST_0_i_54_n_0; + wire flag_o_INST_0_i_55_n_0; + wire flag_o_INST_0_i_56_n_0; + wire flag_o_INST_0_i_57_n_0; + wire flag_o_INST_0_i_58_n_0; + wire flag_o_INST_0_i_59_n_0; + wire flag_o_INST_0_i_5_n_1; + wire flag_o_INST_0_i_5_n_2; + wire flag_o_INST_0_i_5_n_3; + wire flag_o_INST_0_i_60_n_0; + wire flag_o_INST_0_i_61_n_0; + wire flag_o_INST_0_i_62_n_0; + wire flag_o_INST_0_i_63_n_0; + wire flag_o_INST_0_i_64_n_0; + wire flag_o_INST_0_i_65_n_0; + wire flag_o_INST_0_i_66_n_0; + wire flag_o_INST_0_i_6_n_0; + wire flag_o_INST_0_i_6_n_1; + wire flag_o_INST_0_i_6_n_2; + wire flag_o_INST_0_i_6_n_3; + wire flag_o_INST_0_i_7_n_0; + wire flag_o_INST_0_i_8_n_0; + wire flag_o_INST_0_i_9_n_0; + wire [31:0]result_o; + wire \result_o[0]_INST_0_i_10_n_0 ; + wire \result_o[0]_INST_0_i_11_n_0 ; + wire \result_o[0]_INST_0_i_12_n_1 ; + wire \result_o[0]_INST_0_i_12_n_2 ; + wire \result_o[0]_INST_0_i_12_n_3 ; + wire \result_o[0]_INST_0_i_13_n_0 ; + wire \result_o[0]_INST_0_i_13_n_1 ; + wire \result_o[0]_INST_0_i_13_n_2 ; + wire \result_o[0]_INST_0_i_13_n_3 ; + wire \result_o[0]_INST_0_i_14_n_0 ; + wire \result_o[0]_INST_0_i_15_n_0 ; + wire \result_o[0]_INST_0_i_15_n_1 ; + wire \result_o[0]_INST_0_i_15_n_2 ; + wire \result_o[0]_INST_0_i_15_n_3 ; + wire \result_o[0]_INST_0_i_16_n_0 ; + wire \result_o[0]_INST_0_i_17_n_0 ; + wire \result_o[0]_INST_0_i_18_n_0 ; + wire \result_o[0]_INST_0_i_19_n_0 ; + wire \result_o[0]_INST_0_i_1_n_0 ; + wire \result_o[0]_INST_0_i_20_n_0 ; + wire \result_o[0]_INST_0_i_21_n_0 ; + wire \result_o[0]_INST_0_i_22_n_0 ; + wire \result_o[0]_INST_0_i_23_n_0 ; + wire \result_o[0]_INST_0_i_24_n_0 ; + wire \result_o[0]_INST_0_i_24_n_1 ; + wire \result_o[0]_INST_0_i_24_n_2 ; + wire \result_o[0]_INST_0_i_24_n_3 ; + wire \result_o[0]_INST_0_i_25_n_0 ; + wire \result_o[0]_INST_0_i_26_n_0 ; + wire \result_o[0]_INST_0_i_27_n_0 ; + wire \result_o[0]_INST_0_i_28_n_0 ; + wire \result_o[0]_INST_0_i_29_n_0 ; + wire \result_o[0]_INST_0_i_2_n_0 ; + wire \result_o[0]_INST_0_i_30_n_0 ; + wire \result_o[0]_INST_0_i_31_n_0 ; + wire \result_o[0]_INST_0_i_32_n_0 ; + wire \result_o[0]_INST_0_i_33_n_0 ; + wire \result_o[0]_INST_0_i_33_n_1 ; + wire \result_o[0]_INST_0_i_33_n_2 ; + wire \result_o[0]_INST_0_i_33_n_3 ; + wire \result_o[0]_INST_0_i_34_n_0 ; + wire \result_o[0]_INST_0_i_35_n_0 ; + wire \result_o[0]_INST_0_i_36_n_0 ; + wire \result_o[0]_INST_0_i_37_n_0 ; + wire \result_o[0]_INST_0_i_38_n_0 ; + wire \result_o[0]_INST_0_i_39_n_0 ; + wire \result_o[0]_INST_0_i_3_n_0 ; + wire \result_o[0]_INST_0_i_40_n_0 ; + wire \result_o[0]_INST_0_i_41_n_0 ; + wire \result_o[0]_INST_0_i_42_n_0 ; + wire \result_o[0]_INST_0_i_42_n_1 ; + wire \result_o[0]_INST_0_i_42_n_2 ; + wire \result_o[0]_INST_0_i_42_n_3 ; + wire \result_o[0]_INST_0_i_43_n_0 ; + wire \result_o[0]_INST_0_i_44_n_0 ; + wire \result_o[0]_INST_0_i_45_n_0 ; + wire \result_o[0]_INST_0_i_46_n_0 ; + wire \result_o[0]_INST_0_i_47_n_0 ; + wire \result_o[0]_INST_0_i_48_n_0 ; + wire \result_o[0]_INST_0_i_49_n_0 ; + wire \result_o[0]_INST_0_i_50_n_0 ; + wire \result_o[0]_INST_0_i_51_n_0 ; + wire \result_o[0]_INST_0_i_51_n_1 ; + wire \result_o[0]_INST_0_i_51_n_2 ; + wire \result_o[0]_INST_0_i_51_n_3 ; + wire \result_o[0]_INST_0_i_52_n_0 ; + wire \result_o[0]_INST_0_i_53_n_0 ; + wire \result_o[0]_INST_0_i_54_n_0 ; + wire \result_o[0]_INST_0_i_55_n_0 ; + wire \result_o[0]_INST_0_i_56_n_0 ; + wire \result_o[0]_INST_0_i_57_n_0 ; + wire \result_o[0]_INST_0_i_58_n_0 ; + wire \result_o[0]_INST_0_i_59_n_0 ; + wire \result_o[0]_INST_0_i_5_n_0 ; + wire \result_o[0]_INST_0_i_60_n_0 ; + wire \result_o[0]_INST_0_i_60_n_1 ; + wire \result_o[0]_INST_0_i_60_n_2 ; + wire \result_o[0]_INST_0_i_60_n_3 ; + wire \result_o[0]_INST_0_i_61_n_0 ; + wire \result_o[0]_INST_0_i_62_n_0 ; + wire \result_o[0]_INST_0_i_63_n_0 ; + wire \result_o[0]_INST_0_i_64_n_0 ; + wire \result_o[0]_INST_0_i_65_n_0 ; + wire \result_o[0]_INST_0_i_66_n_0 ; + wire \result_o[0]_INST_0_i_67_n_0 ; + wire \result_o[0]_INST_0_i_68_n_0 ; + wire \result_o[0]_INST_0_i_69_n_0 ; + wire \result_o[0]_INST_0_i_6_n_0 ; + wire \result_o[0]_INST_0_i_70_n_0 ; + wire \result_o[0]_INST_0_i_71_n_0 ; + wire \result_o[0]_INST_0_i_72_n_0 ; + wire \result_o[0]_INST_0_i_73_n_0 ; + wire \result_o[0]_INST_0_i_74_n_0 ; + wire \result_o[0]_INST_0_i_75_n_0 ; + wire \result_o[0]_INST_0_i_76_n_0 ; + wire \result_o[0]_INST_0_i_77_n_0 ; + wire \result_o[0]_INST_0_i_78_n_0 ; + wire \result_o[0]_INST_0_i_79_n_0 ; + wire \result_o[0]_INST_0_i_7_n_0 ; + wire \result_o[0]_INST_0_i_80_n_0 ; + wire \result_o[0]_INST_0_i_81_n_0 ; + wire \result_o[0]_INST_0_i_82_n_0 ; + wire \result_o[0]_INST_0_i_83_n_0 ; + wire \result_o[0]_INST_0_i_84_n_0 ; + wire \result_o[0]_INST_0_i_8_n_0 ; + wire \result_o[0]_INST_0_i_9_n_0 ; + wire \result_o[10]_INST_0_i_10_n_0 ; + wire \result_o[10]_INST_0_i_11_n_0 ; + wire \result_o[10]_INST_0_i_12_n_0 ; + wire \result_o[10]_INST_0_i_1_n_0 ; + wire \result_o[10]_INST_0_i_2_n_0 ; + wire \result_o[10]_INST_0_i_3_n_0 ; + wire \result_o[10]_INST_0_i_4_n_0 ; + wire \result_o[10]_INST_0_i_5_n_0 ; + wire \result_o[10]_INST_0_i_6_n_0 ; + wire \result_o[10]_INST_0_i_7_n_0 ; + wire \result_o[10]_INST_0_i_8_n_0 ; + wire \result_o[10]_INST_0_i_9_n_0 ; + wire \result_o[11]_INST_0_i_10_n_0 ; + wire \result_o[11]_INST_0_i_11_n_0 ; + wire \result_o[11]_INST_0_i_11_n_1 ; + wire \result_o[11]_INST_0_i_11_n_2 ; + wire \result_o[11]_INST_0_i_11_n_3 ; + wire \result_o[11]_INST_0_i_12_n_0 ; + wire \result_o[11]_INST_0_i_13_n_0 ; + wire \result_o[11]_INST_0_i_14_n_0 ; + wire \result_o[11]_INST_0_i_15_n_0 ; + wire \result_o[11]_INST_0_i_16_n_0 ; + wire \result_o[11]_INST_0_i_17_n_0 ; + wire \result_o[11]_INST_0_i_18_n_0 ; + wire \result_o[11]_INST_0_i_19_n_0 ; + wire \result_o[11]_INST_0_i_1_n_0 ; + wire \result_o[11]_INST_0_i_20_n_0 ; + wire \result_o[11]_INST_0_i_21_n_0 ; + wire \result_o[11]_INST_0_i_22_n_0 ; + wire \result_o[11]_INST_0_i_2_n_0 ; + wire \result_o[11]_INST_0_i_3_n_0 ; + wire \result_o[11]_INST_0_i_4_n_0 ; + wire \result_o[11]_INST_0_i_5_n_0 ; + wire \result_o[11]_INST_0_i_6_n_0 ; + wire \result_o[11]_INST_0_i_7_n_0 ; + wire \result_o[11]_INST_0_i_8_n_0 ; + wire \result_o[11]_INST_0_i_9_n_0 ; + wire \result_o[11]_INST_0_i_9_n_1 ; + wire \result_o[11]_INST_0_i_9_n_2 ; + wire \result_o[11]_INST_0_i_9_n_3 ; + wire \result_o[12]_INST_0_i_10_n_0 ; + wire \result_o[12]_INST_0_i_11_n_0 ; + wire \result_o[12]_INST_0_i_12_n_0 ; + wire \result_o[12]_INST_0_i_1_n_0 ; + wire \result_o[12]_INST_0_i_2_n_0 ; + wire \result_o[12]_INST_0_i_3_n_0 ; + wire \result_o[12]_INST_0_i_4_n_0 ; + wire \result_o[12]_INST_0_i_5_n_0 ; + wire \result_o[12]_INST_0_i_6_n_0 ; + wire \result_o[12]_INST_0_i_7_n_0 ; + wire \result_o[12]_INST_0_i_8_n_0 ; + wire \result_o[12]_INST_0_i_9_n_0 ; + wire \result_o[13]_INST_0_i_10_n_0 ; + wire \result_o[13]_INST_0_i_11_n_0 ; + wire \result_o[13]_INST_0_i_12_n_0 ; + wire \result_o[13]_INST_0_i_1_n_0 ; + wire \result_o[13]_INST_0_i_2_n_0 ; + wire \result_o[13]_INST_0_i_3_n_0 ; + wire \result_o[13]_INST_0_i_4_n_0 ; + wire \result_o[13]_INST_0_i_5_n_0 ; + wire \result_o[13]_INST_0_i_6_n_0 ; + wire \result_o[13]_INST_0_i_7_n_0 ; + wire \result_o[13]_INST_0_i_8_n_0 ; + wire \result_o[13]_INST_0_i_9_n_0 ; + wire \result_o[14]_INST_0_i_10_n_0 ; + wire \result_o[14]_INST_0_i_11_n_0 ; + wire \result_o[14]_INST_0_i_12_n_0 ; + wire \result_o[14]_INST_0_i_1_n_0 ; + wire \result_o[14]_INST_0_i_2_n_0 ; + wire \result_o[14]_INST_0_i_3_n_0 ; + wire \result_o[14]_INST_0_i_4_n_0 ; + wire \result_o[14]_INST_0_i_5_n_0 ; + wire \result_o[14]_INST_0_i_6_n_0 ; + wire \result_o[14]_INST_0_i_7_n_0 ; + wire \result_o[14]_INST_0_i_8_n_0 ; + wire \result_o[14]_INST_0_i_9_n_0 ; + wire \result_o[15]_INST_0_i_10_n_0 ; + wire \result_o[15]_INST_0_i_11_n_0 ; + wire \result_o[15]_INST_0_i_11_n_1 ; + wire \result_o[15]_INST_0_i_11_n_2 ; + wire \result_o[15]_INST_0_i_11_n_3 ; + wire \result_o[15]_INST_0_i_12_n_0 ; + wire \result_o[15]_INST_0_i_13_n_0 ; + wire \result_o[15]_INST_0_i_14_n_0 ; + wire \result_o[15]_INST_0_i_15_n_0 ; + wire \result_o[15]_INST_0_i_16_n_0 ; + wire \result_o[15]_INST_0_i_17_n_0 ; + wire \result_o[15]_INST_0_i_18_n_0 ; + wire \result_o[15]_INST_0_i_19_n_0 ; + wire \result_o[15]_INST_0_i_1_n_0 ; + wire \result_o[15]_INST_0_i_20_n_0 ; + wire \result_o[15]_INST_0_i_21_n_0 ; + wire \result_o[15]_INST_0_i_22_n_0 ; + wire \result_o[15]_INST_0_i_2_n_0 ; + wire \result_o[15]_INST_0_i_3_n_0 ; + wire \result_o[15]_INST_0_i_4_n_0 ; + wire \result_o[15]_INST_0_i_5_n_0 ; + wire \result_o[15]_INST_0_i_6_n_0 ; + wire \result_o[15]_INST_0_i_7_n_0 ; + wire \result_o[15]_INST_0_i_8_n_0 ; + wire \result_o[15]_INST_0_i_9_n_0 ; + wire \result_o[15]_INST_0_i_9_n_1 ; + wire \result_o[15]_INST_0_i_9_n_2 ; + wire \result_o[15]_INST_0_i_9_n_3 ; + wire \result_o[16]_INST_0_i_10_n_0 ; + wire \result_o[16]_INST_0_i_11_n_0 ; + wire \result_o[16]_INST_0_i_1_n_0 ; + wire \result_o[16]_INST_0_i_2_n_0 ; + wire \result_o[16]_INST_0_i_3_n_0 ; + wire \result_o[16]_INST_0_i_4_n_0 ; + wire \result_o[16]_INST_0_i_5_n_0 ; + wire \result_o[16]_INST_0_i_6_n_0 ; + wire \result_o[16]_INST_0_i_7_n_0 ; + wire \result_o[16]_INST_0_i_8_n_0 ; + wire \result_o[16]_INST_0_i_9_n_0 ; + wire \result_o[17]_INST_0_i_10_n_0 ; + wire \result_o[17]_INST_0_i_11_n_0 ; + wire \result_o[17]_INST_0_i_1_n_0 ; + wire \result_o[17]_INST_0_i_2_n_0 ; + wire \result_o[17]_INST_0_i_3_n_0 ; + wire \result_o[17]_INST_0_i_4_n_0 ; + wire \result_o[17]_INST_0_i_5_n_0 ; + wire \result_o[17]_INST_0_i_6_n_0 ; + wire \result_o[17]_INST_0_i_7_n_0 ; + wire \result_o[17]_INST_0_i_8_n_0 ; + wire \result_o[17]_INST_0_i_9_n_0 ; + wire \result_o[18]_INST_0_i_10_n_0 ; + wire \result_o[18]_INST_0_i_11_n_0 ; + wire \result_o[18]_INST_0_i_12_n_0 ; + wire \result_o[18]_INST_0_i_1_n_0 ; + wire \result_o[18]_INST_0_i_2_n_0 ; + wire \result_o[18]_INST_0_i_3_n_0 ; + wire \result_o[18]_INST_0_i_4_n_0 ; + wire \result_o[18]_INST_0_i_5_n_0 ; + wire \result_o[18]_INST_0_i_6_n_0 ; + wire \result_o[18]_INST_0_i_7_n_0 ; + wire \result_o[18]_INST_0_i_8_n_0 ; + wire \result_o[18]_INST_0_i_9_n_0 ; + wire \result_o[19]_INST_0_i_10_n_0 ; + wire \result_o[19]_INST_0_i_10_n_1 ; + wire \result_o[19]_INST_0_i_10_n_2 ; + wire \result_o[19]_INST_0_i_10_n_3 ; + wire \result_o[19]_INST_0_i_11_n_0 ; + wire \result_o[19]_INST_0_i_12_n_0 ; + wire \result_o[19]_INST_0_i_13_n_0 ; + wire \result_o[19]_INST_0_i_14_n_0 ; + wire \result_o[19]_INST_0_i_15_n_0 ; + wire \result_o[19]_INST_0_i_16_n_0 ; + wire \result_o[19]_INST_0_i_17_n_0 ; + wire \result_o[19]_INST_0_i_18_n_0 ; + wire \result_o[19]_INST_0_i_19_n_0 ; + wire \result_o[19]_INST_0_i_1_n_0 ; + wire \result_o[19]_INST_0_i_20_n_0 ; + wire \result_o[19]_INST_0_i_21_n_0 ; + wire \result_o[19]_INST_0_i_22_n_0 ; + wire \result_o[19]_INST_0_i_2_n_0 ; + wire \result_o[19]_INST_0_i_3_n_0 ; + wire \result_o[19]_INST_0_i_4_n_0 ; + wire \result_o[19]_INST_0_i_5_n_0 ; + wire \result_o[19]_INST_0_i_6_n_0 ; + wire \result_o[19]_INST_0_i_7_n_0 ; + wire \result_o[19]_INST_0_i_8_n_0 ; + wire \result_o[19]_INST_0_i_9_n_0 ; + wire \result_o[19]_INST_0_i_9_n_1 ; + wire \result_o[19]_INST_0_i_9_n_2 ; + wire \result_o[19]_INST_0_i_9_n_3 ; + wire \result_o[1]_INST_0_i_1_n_0 ; + wire \result_o[1]_INST_0_i_2_n_0 ; + wire \result_o[1]_INST_0_i_3_n_0 ; + wire \result_o[1]_INST_0_i_4_n_0 ; + wire \result_o[1]_INST_0_i_5_n_0 ; + wire \result_o[1]_INST_0_i_6_n_0 ; + wire \result_o[1]_INST_0_i_7_n_0 ; + wire \result_o[1]_INST_0_i_8_n_0 ; + wire \result_o[1]_INST_0_i_9_n_0 ; + wire \result_o[20]_INST_0_i_10_n_0 ; + wire \result_o[20]_INST_0_i_11_n_0 ; + wire \result_o[20]_INST_0_i_12_n_0 ; + wire \result_o[20]_INST_0_i_1_n_0 ; + wire \result_o[20]_INST_0_i_2_n_0 ; + wire \result_o[20]_INST_0_i_3_n_0 ; + wire \result_o[20]_INST_0_i_4_n_0 ; + wire \result_o[20]_INST_0_i_5_n_0 ; + wire \result_o[20]_INST_0_i_6_n_0 ; + wire \result_o[20]_INST_0_i_7_n_0 ; + wire \result_o[20]_INST_0_i_8_n_0 ; + wire \result_o[20]_INST_0_i_9_n_0 ; + wire \result_o[21]_INST_0_i_10_n_0 ; + wire \result_o[21]_INST_0_i_11_n_0 ; + wire \result_o[21]_INST_0_i_12_n_0 ; + wire \result_o[21]_INST_0_i_1_n_0 ; + wire \result_o[21]_INST_0_i_2_n_0 ; + wire \result_o[21]_INST_0_i_3_n_0 ; + wire \result_o[21]_INST_0_i_4_n_0 ; + wire \result_o[21]_INST_0_i_5_n_0 ; + wire \result_o[21]_INST_0_i_6_n_0 ; + wire \result_o[21]_INST_0_i_7_n_0 ; + wire \result_o[21]_INST_0_i_8_n_0 ; + wire \result_o[21]_INST_0_i_9_n_0 ; + wire \result_o[22]_INST_0_i_10_n_0 ; + wire \result_o[22]_INST_0_i_11_n_0 ; + wire \result_o[22]_INST_0_i_12_n_0 ; + wire \result_o[22]_INST_0_i_1_n_0 ; + wire \result_o[22]_INST_0_i_2_n_0 ; + wire \result_o[22]_INST_0_i_3_n_0 ; + wire \result_o[22]_INST_0_i_4_n_0 ; + wire \result_o[22]_INST_0_i_5_n_0 ; + wire \result_o[22]_INST_0_i_6_n_0 ; + wire \result_o[22]_INST_0_i_7_n_0 ; + wire \result_o[22]_INST_0_i_8_n_0 ; + wire \result_o[22]_INST_0_i_9_n_0 ; + wire \result_o[23]_INST_0_i_10_n_0 ; + wire \result_o[23]_INST_0_i_11_n_0 ; + wire \result_o[23]_INST_0_i_12_n_0 ; + wire \result_o[23]_INST_0_i_13_n_0 ; + wire \result_o[23]_INST_0_i_14_n_0 ; + wire \result_o[23]_INST_0_i_15_n_0 ; + wire \result_o[23]_INST_0_i_16_n_0 ; + wire \result_o[23]_INST_0_i_17_n_0 ; + wire \result_o[23]_INST_0_i_1_n_0 ; + wire \result_o[23]_INST_0_i_2_n_0 ; + wire \result_o[23]_INST_0_i_3_n_0 ; + wire \result_o[23]_INST_0_i_4_n_0 ; + wire \result_o[23]_INST_0_i_5_n_0 ; + wire \result_o[23]_INST_0_i_6_n_0 ; + wire \result_o[23]_INST_0_i_7_n_0 ; + wire \result_o[23]_INST_0_i_8_n_0 ; + wire \result_o[23]_INST_0_i_9_n_0 ; + wire \result_o[23]_INST_0_i_9_n_1 ; + wire \result_o[23]_INST_0_i_9_n_2 ; + wire \result_o[23]_INST_0_i_9_n_3 ; + wire \result_o[24]_INST_0_i_10_n_0 ; + wire \result_o[24]_INST_0_i_11_n_0 ; + wire \result_o[24]_INST_0_i_1_n_0 ; + wire \result_o[24]_INST_0_i_2_n_0 ; + wire \result_o[24]_INST_0_i_3_n_0 ; + wire \result_o[24]_INST_0_i_4_n_0 ; + wire \result_o[24]_INST_0_i_5_n_0 ; + wire \result_o[24]_INST_0_i_6_n_0 ; + wire \result_o[24]_INST_0_i_7_n_0 ; + wire \result_o[24]_INST_0_i_8_n_0 ; + wire \result_o[24]_INST_0_i_9_n_0 ; + wire \result_o[25]_INST_0_i_10_n_0 ; + wire \result_o[25]_INST_0_i_11_n_0 ; + wire \result_o[25]_INST_0_i_12_n_0 ; + wire \result_o[25]_INST_0_i_1_n_0 ; + wire \result_o[25]_INST_0_i_2_n_0 ; + wire \result_o[25]_INST_0_i_3_n_0 ; + wire \result_o[25]_INST_0_i_4_n_0 ; + wire \result_o[25]_INST_0_i_5_n_0 ; + wire \result_o[25]_INST_0_i_6_n_0 ; + wire \result_o[25]_INST_0_i_7_n_0 ; + wire \result_o[25]_INST_0_i_8_n_0 ; + wire \result_o[25]_INST_0_i_9_n_0 ; + wire \result_o[26]_INST_0_i_10_n_0 ; + wire \result_o[26]_INST_0_i_11_n_0 ; + wire \result_o[26]_INST_0_i_12_n_0 ; + wire \result_o[26]_INST_0_i_1_n_0 ; + wire \result_o[26]_INST_0_i_2_n_0 ; + wire \result_o[26]_INST_0_i_3_n_0 ; + wire \result_o[26]_INST_0_i_4_n_0 ; + wire \result_o[26]_INST_0_i_5_n_0 ; + wire \result_o[26]_INST_0_i_6_n_0 ; + wire \result_o[26]_INST_0_i_7_n_0 ; + wire \result_o[26]_INST_0_i_8_n_0 ; + wire \result_o[26]_INST_0_i_9_n_0 ; + wire \result_o[27]_INST_0_i_10_n_0 ; + wire \result_o[27]_INST_0_i_11_n_0 ; + wire \result_o[27]_INST_0_i_12_n_0 ; + wire \result_o[27]_INST_0_i_13_n_0 ; + wire \result_o[27]_INST_0_i_14_n_0 ; + wire \result_o[27]_INST_0_i_15_n_0 ; + wire \result_o[27]_INST_0_i_16_n_0 ; + wire \result_o[27]_INST_0_i_17_n_0 ; + wire \result_o[27]_INST_0_i_18_n_0 ; + wire \result_o[27]_INST_0_i_19_n_0 ; + wire \result_o[27]_INST_0_i_1_n_0 ; + wire \result_o[27]_INST_0_i_20_n_0 ; + wire \result_o[27]_INST_0_i_21_n_0 ; + wire \result_o[27]_INST_0_i_22_n_0 ; + wire \result_o[27]_INST_0_i_23_n_0 ; + wire \result_o[27]_INST_0_i_24_n_0 ; + wire \result_o[27]_INST_0_i_25_n_0 ; + wire \result_o[27]_INST_0_i_2_n_0 ; + wire \result_o[27]_INST_0_i_3_n_0 ; + wire \result_o[27]_INST_0_i_4_n_0 ; + wire \result_o[27]_INST_0_i_4_n_1 ; + wire \result_o[27]_INST_0_i_4_n_2 ; + wire \result_o[27]_INST_0_i_4_n_3 ; + wire \result_o[27]_INST_0_i_5_n_0 ; + wire \result_o[27]_INST_0_i_6_n_0 ; + wire \result_o[27]_INST_0_i_7_n_0 ; + wire \result_o[27]_INST_0_i_8_n_0 ; + wire \result_o[27]_INST_0_i_8_n_1 ; + wire \result_o[27]_INST_0_i_8_n_2 ; + wire \result_o[27]_INST_0_i_8_n_3 ; + wire \result_o[27]_INST_0_i_9_n_0 ; + wire \result_o[27]_INST_0_i_9_n_1 ; + wire \result_o[27]_INST_0_i_9_n_2 ; + wire \result_o[27]_INST_0_i_9_n_3 ; + wire \result_o[28]_INST_0_i_10_n_0 ; + wire \result_o[28]_INST_0_i_11_n_0 ; + wire \result_o[28]_INST_0_i_12_n_0 ; + wire \result_o[28]_INST_0_i_13_n_0 ; + wire \result_o[28]_INST_0_i_14_n_0 ; + wire \result_o[28]_INST_0_i_15_n_0 ; + wire \result_o[28]_INST_0_i_1_n_0 ; + wire \result_o[28]_INST_0_i_2_n_0 ; + wire \result_o[28]_INST_0_i_3_n_0 ; + wire \result_o[28]_INST_0_i_4_n_0 ; + wire \result_o[28]_INST_0_i_5_n_1 ; + wire \result_o[28]_INST_0_i_5_n_2 ; + wire \result_o[28]_INST_0_i_5_n_3 ; + wire \result_o[28]_INST_0_i_6_n_0 ; + wire \result_o[28]_INST_0_i_7_n_0 ; + wire \result_o[28]_INST_0_i_8_n_0 ; + wire \result_o[28]_INST_0_i_9_n_0 ; + wire \result_o[29]_INST_0_i_10_n_0 ; + wire \result_o[29]_INST_0_i_1_n_0 ; + wire \result_o[29]_INST_0_i_2_n_0 ; + wire \result_o[29]_INST_0_i_3_n_0 ; + wire \result_o[29]_INST_0_i_4_n_0 ; + wire \result_o[29]_INST_0_i_5_n_0 ; + wire \result_o[29]_INST_0_i_6_n_0 ; + wire \result_o[29]_INST_0_i_7_n_0 ; + wire \result_o[29]_INST_0_i_8_n_0 ; + wire \result_o[29]_INST_0_i_9_n_0 ; + wire \result_o[2]_INST_0_i_10_n_0 ; + wire \result_o[2]_INST_0_i_11_n_0 ; + wire \result_o[2]_INST_0_i_12_n_0 ; + wire \result_o[2]_INST_0_i_13_n_0 ; + wire \result_o[2]_INST_0_i_14_n_0 ; + wire \result_o[2]_INST_0_i_1_n_0 ; + wire \result_o[2]_INST_0_i_2_n_0 ; + wire \result_o[2]_INST_0_i_3_n_0 ; + wire \result_o[2]_INST_0_i_4_n_0 ; + wire \result_o[2]_INST_0_i_5_n_0 ; + wire \result_o[2]_INST_0_i_6_n_0 ; + wire \result_o[2]_INST_0_i_7_n_0 ; + wire \result_o[2]_INST_0_i_8_n_0 ; + wire \result_o[2]_INST_0_i_9_n_0 ; + wire \result_o[30]_INST_0_i_10_n_0 ; + wire \result_o[30]_INST_0_i_11_n_0 ; + wire \result_o[30]_INST_0_i_12_n_0 ; + wire \result_o[30]_INST_0_i_13_n_0 ; + wire \result_o[30]_INST_0_i_14_n_0 ; + wire \result_o[30]_INST_0_i_15_n_0 ; + wire \result_o[30]_INST_0_i_16_n_0 ; + wire \result_o[30]_INST_0_i_17_n_0 ; + wire \result_o[30]_INST_0_i_1_n_0 ; + wire \result_o[30]_INST_0_i_2_n_0 ; + wire \result_o[30]_INST_0_i_3_n_0 ; + wire \result_o[30]_INST_0_i_4_n_0 ; + wire \result_o[30]_INST_0_i_5_n_0 ; + wire \result_o[30]_INST_0_i_6_n_0 ; + wire \result_o[30]_INST_0_i_7_n_0 ; + wire \result_o[30]_INST_0_i_8_n_0 ; + wire \result_o[30]_INST_0_i_9_n_0 ; + wire \result_o[31]_INST_0_i_10_n_0 ; + wire \result_o[31]_INST_0_i_11_n_0 ; + wire \result_o[31]_INST_0_i_12_n_0 ; + wire \result_o[31]_INST_0_i_13_n_0 ; + wire \result_o[31]_INST_0_i_14_n_0 ; + wire \result_o[31]_INST_0_i_15_n_0 ; + wire \result_o[31]_INST_0_i_16_n_0 ; + wire \result_o[31]_INST_0_i_17_n_0 ; + wire \result_o[31]_INST_0_i_18_n_1 ; + wire \result_o[31]_INST_0_i_18_n_2 ; + wire \result_o[31]_INST_0_i_18_n_3 ; + wire \result_o[31]_INST_0_i_19_n_0 ; + wire \result_o[31]_INST_0_i_1_n_0 ; + wire \result_o[31]_INST_0_i_20_n_0 ; + wire \result_o[31]_INST_0_i_21_n_0 ; + wire \result_o[31]_INST_0_i_22_n_0 ; + wire \result_o[31]_INST_0_i_23_n_0 ; + wire \result_o[31]_INST_0_i_24_n_0 ; + wire \result_o[31]_INST_0_i_25_n_0 ; + wire \result_o[31]_INST_0_i_26_n_0 ; + wire \result_o[31]_INST_0_i_27_n_0 ; + wire \result_o[31]_INST_0_i_28_n_0 ; + wire \result_o[31]_INST_0_i_29_n_0 ; + wire \result_o[31]_INST_0_i_2_n_0 ; + wire \result_o[31]_INST_0_i_30_n_0 ; + wire \result_o[31]_INST_0_i_31_n_0 ; + wire \result_o[31]_INST_0_i_32_n_0 ; + wire \result_o[31]_INST_0_i_3_n_0 ; + wire \result_o[31]_INST_0_i_4_n_0 ; + wire \result_o[31]_INST_0_i_5_n_0 ; + wire \result_o[31]_INST_0_i_6_n_0 ; + wire \result_o[31]_INST_0_i_7_n_0 ; + wire \result_o[31]_INST_0_i_8_n_0 ; + wire \result_o[31]_INST_0_i_9_n_0 ; + wire \result_o[3]_INST_0_i_10_n_0 ; + wire \result_o[3]_INST_0_i_10_n_1 ; + wire \result_o[3]_INST_0_i_10_n_2 ; + wire \result_o[3]_INST_0_i_10_n_3 ; + wire \result_o[3]_INST_0_i_11_n_0 ; + wire \result_o[3]_INST_0_i_12_n_0 ; + wire \result_o[3]_INST_0_i_12_n_1 ; + wire \result_o[3]_INST_0_i_12_n_2 ; + wire \result_o[3]_INST_0_i_12_n_3 ; + wire \result_o[3]_INST_0_i_13_n_0 ; + wire \result_o[3]_INST_0_i_14_n_0 ; + wire \result_o[3]_INST_0_i_15_n_0 ; + wire \result_o[3]_INST_0_i_16_n_0 ; + wire \result_o[3]_INST_0_i_17_n_0 ; + wire \result_o[3]_INST_0_i_18_n_0 ; + wire \result_o[3]_INST_0_i_19_n_0 ; + wire \result_o[3]_INST_0_i_1_n_0 ; + wire \result_o[3]_INST_0_i_20_n_0 ; + wire \result_o[3]_INST_0_i_21_n_0 ; + wire \result_o[3]_INST_0_i_22_n_0 ; + wire \result_o[3]_INST_0_i_23_n_0 ; + wire \result_o[3]_INST_0_i_2_n_0 ; + wire \result_o[3]_INST_0_i_3_n_0 ; + wire \result_o[3]_INST_0_i_4_n_0 ; + wire \result_o[3]_INST_0_i_5_n_0 ; + wire \result_o[3]_INST_0_i_6_n_0 ; + wire \result_o[3]_INST_0_i_7_n_0 ; + wire \result_o[3]_INST_0_i_8_n_0 ; + wire \result_o[3]_INST_0_i_9_n_0 ; + wire \result_o[4]_INST_0_i_10_n_0 ; + wire \result_o[4]_INST_0_i_1_n_0 ; + wire \result_o[4]_INST_0_i_2_n_0 ; + wire \result_o[4]_INST_0_i_3_n_0 ; + wire \result_o[4]_INST_0_i_4_n_0 ; + wire \result_o[4]_INST_0_i_5_n_0 ; + wire \result_o[4]_INST_0_i_6_n_0 ; + wire \result_o[4]_INST_0_i_7_n_0 ; + wire \result_o[4]_INST_0_i_8_n_0 ; + wire \result_o[4]_INST_0_i_9_n_0 ; + wire \result_o[5]_INST_0_i_10_n_0 ; + wire \result_o[5]_INST_0_i_1_n_0 ; + wire \result_o[5]_INST_0_i_2_n_0 ; + wire \result_o[5]_INST_0_i_3_n_0 ; + wire \result_o[5]_INST_0_i_4_n_0 ; + wire \result_o[5]_INST_0_i_5_n_0 ; + wire \result_o[5]_INST_0_i_6_n_0 ; + wire \result_o[5]_INST_0_i_7_n_0 ; + wire \result_o[5]_INST_0_i_8_n_0 ; + wire \result_o[5]_INST_0_i_9_n_0 ; + wire \result_o[6]_INST_0_i_10_n_0 ; + wire \result_o[6]_INST_0_i_1_n_0 ; + wire \result_o[6]_INST_0_i_2_n_0 ; + wire \result_o[6]_INST_0_i_3_n_0 ; + wire \result_o[6]_INST_0_i_4_n_0 ; + wire \result_o[6]_INST_0_i_5_n_0 ; + wire \result_o[6]_INST_0_i_6_n_0 ; + wire \result_o[6]_INST_0_i_7_n_0 ; + wire \result_o[6]_INST_0_i_8_n_0 ; + wire \result_o[6]_INST_0_i_9_n_0 ; + wire \result_o[7]_INST_0_i_10_n_0 ; + wire \result_o[7]_INST_0_i_11_n_0 ; + wire \result_o[7]_INST_0_i_11_n_1 ; + wire \result_o[7]_INST_0_i_11_n_2 ; + wire \result_o[7]_INST_0_i_11_n_3 ; + wire \result_o[7]_INST_0_i_12_n_0 ; + wire \result_o[7]_INST_0_i_13_n_0 ; + wire \result_o[7]_INST_0_i_14_n_0 ; + wire \result_o[7]_INST_0_i_15_n_0 ; + wire \result_o[7]_INST_0_i_16_n_0 ; + wire \result_o[7]_INST_0_i_17_n_0 ; + wire \result_o[7]_INST_0_i_18_n_0 ; + wire \result_o[7]_INST_0_i_19_n_0 ; + wire \result_o[7]_INST_0_i_1_n_0 ; + wire \result_o[7]_INST_0_i_20_n_0 ; + wire \result_o[7]_INST_0_i_21_n_0 ; + wire \result_o[7]_INST_0_i_2_n_0 ; + wire \result_o[7]_INST_0_i_3_n_0 ; + wire \result_o[7]_INST_0_i_4_n_0 ; + wire \result_o[7]_INST_0_i_5_n_0 ; + wire \result_o[7]_INST_0_i_6_n_0 ; + wire \result_o[7]_INST_0_i_7_n_0 ; + wire \result_o[7]_INST_0_i_8_n_0 ; + wire \result_o[7]_INST_0_i_9_n_0 ; + wire \result_o[7]_INST_0_i_9_n_1 ; + wire \result_o[7]_INST_0_i_9_n_2 ; + wire \result_o[7]_INST_0_i_9_n_3 ; + wire \result_o[8]_INST_0_i_10_n_0 ; + wire \result_o[8]_INST_0_i_11_n_0 ; + wire \result_o[8]_INST_0_i_12_n_0 ; + wire \result_o[8]_INST_0_i_1_n_0 ; + wire \result_o[8]_INST_0_i_2_n_0 ; + wire \result_o[8]_INST_0_i_3_n_0 ; + wire \result_o[8]_INST_0_i_4_n_0 ; + wire \result_o[8]_INST_0_i_5_n_0 ; + wire \result_o[8]_INST_0_i_6_n_0 ; + wire \result_o[8]_INST_0_i_7_n_0 ; + wire \result_o[8]_INST_0_i_8_n_0 ; + wire \result_o[8]_INST_0_i_9_n_0 ; + wire \result_o[9]_INST_0_i_10_n_0 ; + wire \result_o[9]_INST_0_i_1_n_0 ; + wire \result_o[9]_INST_0_i_2_n_0 ; + wire \result_o[9]_INST_0_i_3_n_0 ; + wire \result_o[9]_INST_0_i_4_n_0 ; + wire \result_o[9]_INST_0_i_5_n_0 ; + wire \result_o[9]_INST_0_i_6_n_0 ; + wire \result_o[9]_INST_0_i_7_n_0 ; + wire \result_o[9]_INST_0_i_8_n_0 ; + wire \result_o[9]_INST_0_i_9_n_0 ; + + GND GND + (.G(\ )); + VCC VCC + (.P(\ )); + LUT4 #( + .INIT(16'h8880)) + flag_o_INST_0 + (.I0(alu_op_i[4]), + .I1(alu_op_i[3]), + .I2(flag_o_INST_0_i_1_n_0), + .I3(flag_o_INST_0_i_2_n_0), + .O(flag_o)); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT5 #( + .INIT(32'h000000E2)) + flag_o_INST_0_i_1 + (.I0(flag_o_INST_0_i_3_n_1), + .I1(alu_op_i[0]), + .I2(flag_o_INST_0_i_4_n_1), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .O(flag_o_INST_0_i_1_n_0)); + CARRY4 flag_o_INST_0_i_10 + (.CI(flag_o_INST_0_i_28_n_0), + .CO({flag_o_INST_0_i_10_n_0,flag_o_INST_0_i_10_n_1,flag_o_INST_0_i_10_n_2,flag_o_INST_0_i_10_n_3}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .S({flag_o_INST_0_i_29_n_0,flag_o_INST_0_i_30_n_0,flag_o_INST_0_i_31_n_0,flag_o_INST_0_i_32_n_0})); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_11 + (.I0(b_i[30]), + .I1(a_i[30]), + .I2(b_i[31]), + .I3(a_i[31]), + .O(flag_o_INST_0_i_11_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_12 + (.I0(a_i[29]), + .I1(b_i[29]), + .I2(a_i[28]), + .I3(b_i[28]), + .I4(b_i[27]), + .I5(a_i[27]), + .O(flag_o_INST_0_i_12_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_13 + (.I0(a_i[26]), + .I1(b_i[26]), + .I2(a_i[25]), + .I3(b_i[25]), + .I4(b_i[24]), + .I5(a_i[24]), + .O(flag_o_INST_0_i_13_n_0)); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 flag_o_INST_0_i_14 + (.CI(flag_o_INST_0_i_33_n_0), + .CO({flag_o_INST_0_i_14_n_0,flag_o_INST_0_i_14_n_1,flag_o_INST_0_i_14_n_2,flag_o_INST_0_i_14_n_3}), + .CYINIT(\ ), + .DI({flag_o_INST_0_i_34_n_0,flag_o_INST_0_i_35_n_0,flag_o_INST_0_i_36_n_0,flag_o_INST_0_i_37_n_0}), + .S({flag_o_INST_0_i_38_n_0,flag_o_INST_0_i_39_n_0,flag_o_INST_0_i_40_n_0,flag_o_INST_0_i_41_n_0})); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_15 + (.I0(a_i[30]), + .I1(b_i[30]), + .I2(a_i[31]), + .I3(b_i[31]), + .O(flag_o_INST_0_i_15_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_16 + (.I0(a_i[28]), + .I1(b_i[28]), + .I2(b_i[29]), + .I3(a_i[29]), + .O(flag_o_INST_0_i_16_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_17 + (.I0(a_i[26]), + .I1(b_i[26]), + .I2(b_i[27]), + .I3(a_i[27]), + .O(flag_o_INST_0_i_17_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_18 + (.I0(a_i[24]), + .I1(b_i[24]), + .I2(b_i[25]), + .I3(a_i[25]), + .O(flag_o_INST_0_i_18_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_19 + (.I0(b_i[31]), + .I1(a_i[31]), + .I2(b_i[30]), + .I3(a_i[30]), + .O(flag_o_INST_0_i_19_n_0)); + LUT6 #( + .INIT(64'h2828AAA028280A00)) + flag_o_INST_0_i_2 + (.I0(alu_op_i[2]), + .I1(data4), + .I2(alu_op_i[0]), + .I3(\result_o[0]_INST_0_i_13_n_0 ), + .I4(alu_op_i[1]), + .I5(data3), + .O(flag_o_INST_0_i_2_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_20 + (.I0(b_i[29]), + .I1(a_i[29]), + .I2(b_i[28]), + .I3(a_i[28]), + .O(flag_o_INST_0_i_20_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_21 + (.I0(b_i[27]), + .I1(a_i[27]), + .I2(b_i[26]), + .I3(a_i[26]), + .O(flag_o_INST_0_i_21_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_22 + (.I0(b_i[25]), + .I1(a_i[25]), + .I2(b_i[24]), + .I3(a_i[24]), + .O(flag_o_INST_0_i_22_n_0)); + CARRY4 flag_o_INST_0_i_23 + (.CI(\ ), + .CO({flag_o_INST_0_i_23_n_0,flag_o_INST_0_i_23_n_1,flag_o_INST_0_i_23_n_2,flag_o_INST_0_i_23_n_3}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .S({flag_o_INST_0_i_42_n_0,flag_o_INST_0_i_43_n_0,flag_o_INST_0_i_44_n_0,flag_o_INST_0_i_45_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_24 + (.I0(a_i[23]), + .I1(b_i[23]), + .I2(a_i[22]), + .I3(b_i[22]), + .I4(b_i[21]), + .I5(a_i[21]), + .O(flag_o_INST_0_i_24_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_25 + (.I0(a_i[20]), + .I1(b_i[20]), + .I2(a_i[19]), + .I3(b_i[19]), + .I4(b_i[18]), + .I5(a_i[18]), + .O(flag_o_INST_0_i_25_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_26 + (.I0(a_i[17]), + .I1(b_i[17]), + .I2(a_i[16]), + .I3(b_i[16]), + .I4(b_i[15]), + .I5(a_i[15]), + .O(flag_o_INST_0_i_26_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_27 + (.I0(a_i[14]), + .I1(b_i[14]), + .I2(a_i[13]), + .I3(b_i[13]), + .I4(b_i[12]), + .I5(a_i[12]), + .O(flag_o_INST_0_i_27_n_0)); + CARRY4 flag_o_INST_0_i_28 + (.CI(\ ), + .CO({flag_o_INST_0_i_28_n_0,flag_o_INST_0_i_28_n_1,flag_o_INST_0_i_28_n_2,flag_o_INST_0_i_28_n_3}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .S({flag_o_INST_0_i_46_n_0,flag_o_INST_0_i_47_n_0,flag_o_INST_0_i_48_n_0,flag_o_INST_0_i_49_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_29 + (.I0(a_i[23]), + .I1(b_i[23]), + .I2(a_i[22]), + .I3(b_i[22]), + .I4(b_i[21]), + .I5(a_i[21]), + .O(flag_o_INST_0_i_29_n_0)); + CARRY4 flag_o_INST_0_i_3 + (.CI(flag_o_INST_0_i_6_n_0), + .CO({flag_o_INST_0_i_3_n_1,flag_o_INST_0_i_3_n_2,flag_o_INST_0_i_3_n_3}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .S({\ ,flag_o_INST_0_i_7_n_0,flag_o_INST_0_i_8_n_0,flag_o_INST_0_i_9_n_0})); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_30 + (.I0(a_i[20]), + .I1(b_i[20]), + .I2(a_i[19]), + .I3(b_i[19]), + .I4(b_i[18]), + .I5(a_i[18]), + .O(flag_o_INST_0_i_30_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_31 + (.I0(a_i[17]), + .I1(b_i[17]), + .I2(a_i[16]), + .I3(b_i[16]), + .I4(b_i[15]), + .I5(a_i[15]), + .O(flag_o_INST_0_i_31_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_32 + (.I0(a_i[14]), + .I1(b_i[14]), + .I2(a_i[13]), + .I3(b_i[13]), + .I4(b_i[12]), + .I5(a_i[12]), + .O(flag_o_INST_0_i_32_n_0)); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 flag_o_INST_0_i_33 + (.CI(flag_o_INST_0_i_50_n_0), + .CO({flag_o_INST_0_i_33_n_0,flag_o_INST_0_i_33_n_1,flag_o_INST_0_i_33_n_2,flag_o_INST_0_i_33_n_3}), + .CYINIT(\ ), + .DI({flag_o_INST_0_i_51_n_0,flag_o_INST_0_i_52_n_0,flag_o_INST_0_i_53_n_0,flag_o_INST_0_i_54_n_0}), + .S({flag_o_INST_0_i_55_n_0,flag_o_INST_0_i_56_n_0,flag_o_INST_0_i_57_n_0,flag_o_INST_0_i_58_n_0})); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_34 + (.I0(a_i[22]), + .I1(b_i[22]), + .I2(b_i[23]), + .I3(a_i[23]), + .O(flag_o_INST_0_i_34_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_35 + (.I0(a_i[20]), + .I1(b_i[20]), + .I2(b_i[21]), + .I3(a_i[21]), + .O(flag_o_INST_0_i_35_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_36 + (.I0(a_i[18]), + .I1(b_i[18]), + .I2(b_i[19]), + .I3(a_i[19]), + .O(flag_o_INST_0_i_36_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_37 + (.I0(a_i[16]), + .I1(b_i[16]), + .I2(b_i[17]), + .I3(a_i[17]), + .O(flag_o_INST_0_i_37_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_38 + (.I0(b_i[23]), + .I1(a_i[23]), + .I2(b_i[22]), + .I3(a_i[22]), + .O(flag_o_INST_0_i_38_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_39 + (.I0(b_i[21]), + .I1(a_i[21]), + .I2(b_i[20]), + .I3(a_i[20]), + .O(flag_o_INST_0_i_39_n_0)); + CARRY4 flag_o_INST_0_i_4 + (.CI(flag_o_INST_0_i_10_n_0), + .CO({flag_o_INST_0_i_4_n_1,flag_o_INST_0_i_4_n_2,flag_o_INST_0_i_4_n_3}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .S({\ ,flag_o_INST_0_i_11_n_0,flag_o_INST_0_i_12_n_0,flag_o_INST_0_i_13_n_0})); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_40 + (.I0(b_i[19]), + .I1(a_i[19]), + .I2(b_i[18]), + .I3(a_i[18]), + .O(flag_o_INST_0_i_40_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_41 + (.I0(b_i[17]), + .I1(a_i[17]), + .I2(b_i[16]), + .I3(a_i[16]), + .O(flag_o_INST_0_i_41_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_42 + (.I0(a_i[11]), + .I1(b_i[11]), + .I2(a_i[10]), + .I3(b_i[10]), + .I4(b_i[9]), + .I5(a_i[9]), + .O(flag_o_INST_0_i_42_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_43 + (.I0(a_i[8]), + .I1(b_i[8]), + .I2(a_i[7]), + .I3(b_i[7]), + .I4(b_i[6]), + .I5(a_i[6]), + .O(flag_o_INST_0_i_43_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_44 + (.I0(a_i[5]), + .I1(b_i[5]), + .I2(a_i[4]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[3]), + .O(flag_o_INST_0_i_44_n_0)); + LUT6 #( + .INIT(64'h9000090000900009)) + flag_o_INST_0_i_45 + (.I0(a_i[0]), + .I1(b_i[0]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[1]), + .I5(a_i[2]), + .O(flag_o_INST_0_i_45_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_46 + (.I0(a_i[11]), + .I1(b_i[11]), + .I2(a_i[10]), + .I3(b_i[10]), + .I4(b_i[9]), + .I5(a_i[9]), + .O(flag_o_INST_0_i_46_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_47 + (.I0(a_i[8]), + .I1(b_i[8]), + .I2(a_i[7]), + .I3(b_i[7]), + .I4(b_i[6]), + .I5(a_i[6]), + .O(flag_o_INST_0_i_47_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_48 + (.I0(a_i[5]), + .I1(b_i[5]), + .I2(a_i[4]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[3]), + .O(flag_o_INST_0_i_48_n_0)); + LUT6 #( + .INIT(64'h9000090000900009)) + flag_o_INST_0_i_49 + (.I0(a_i[0]), + .I1(b_i[0]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[1]), + .I5(a_i[2]), + .O(flag_o_INST_0_i_49_n_0)); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 flag_o_INST_0_i_5 + (.CI(flag_o_INST_0_i_14_n_0), + .CO({data3,flag_o_INST_0_i_5_n_1,flag_o_INST_0_i_5_n_2,flag_o_INST_0_i_5_n_3}), + .CYINIT(\ ), + .DI({flag_o_INST_0_i_15_n_0,flag_o_INST_0_i_16_n_0,flag_o_INST_0_i_17_n_0,flag_o_INST_0_i_18_n_0}), + .S({flag_o_INST_0_i_19_n_0,flag_o_INST_0_i_20_n_0,flag_o_INST_0_i_21_n_0,flag_o_INST_0_i_22_n_0})); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 flag_o_INST_0_i_50 + (.CI(\ ), + .CO({flag_o_INST_0_i_50_n_0,flag_o_INST_0_i_50_n_1,flag_o_INST_0_i_50_n_2,flag_o_INST_0_i_50_n_3}), + .CYINIT(\ ), + .DI({flag_o_INST_0_i_59_n_0,flag_o_INST_0_i_60_n_0,flag_o_INST_0_i_61_n_0,flag_o_INST_0_i_62_n_0}), + .S({flag_o_INST_0_i_63_n_0,flag_o_INST_0_i_64_n_0,flag_o_INST_0_i_65_n_0,flag_o_INST_0_i_66_n_0})); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_51 + (.I0(a_i[14]), + .I1(b_i[14]), + .I2(b_i[15]), + .I3(a_i[15]), + .O(flag_o_INST_0_i_51_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_52 + (.I0(a_i[12]), + .I1(b_i[12]), + .I2(b_i[13]), + .I3(a_i[13]), + .O(flag_o_INST_0_i_52_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_53 + (.I0(a_i[10]), + .I1(b_i[10]), + .I2(b_i[11]), + .I3(a_i[11]), + .O(flag_o_INST_0_i_53_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_54 + (.I0(a_i[8]), + .I1(b_i[8]), + .I2(b_i[9]), + .I3(a_i[9]), + .O(flag_o_INST_0_i_54_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_55 + (.I0(b_i[15]), + .I1(a_i[15]), + .I2(b_i[14]), + .I3(a_i[14]), + .O(flag_o_INST_0_i_55_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_56 + (.I0(b_i[13]), + .I1(a_i[13]), + .I2(b_i[12]), + .I3(a_i[12]), + .O(flag_o_INST_0_i_56_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_57 + (.I0(b_i[11]), + .I1(a_i[11]), + .I2(b_i[10]), + .I3(a_i[10]), + .O(flag_o_INST_0_i_57_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_58 + (.I0(b_i[9]), + .I1(a_i[9]), + .I2(b_i[8]), + .I3(a_i[8]), + .O(flag_o_INST_0_i_58_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_59 + (.I0(a_i[6]), + .I1(b_i[6]), + .I2(b_i[7]), + .I3(a_i[7]), + .O(flag_o_INST_0_i_59_n_0)); + CARRY4 flag_o_INST_0_i_6 + (.CI(flag_o_INST_0_i_23_n_0), + .CO({flag_o_INST_0_i_6_n_0,flag_o_INST_0_i_6_n_1,flag_o_INST_0_i_6_n_2,flag_o_INST_0_i_6_n_3}), + .CYINIT(\ ), + .DI({\ ,\ ,\ ,\ }), + .S({flag_o_INST_0_i_24_n_0,flag_o_INST_0_i_25_n_0,flag_o_INST_0_i_26_n_0,flag_o_INST_0_i_27_n_0})); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_60 + (.I0(a_i[4]), + .I1(b_i[4]), + .I2(b_i[5]), + .I3(a_i[5]), + .O(flag_o_INST_0_i_60_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_61 + (.I0(a_i[2]), + .I1(b_i[2]), + .I2(b_i[3]), + .I3(a_i[3]), + .O(flag_o_INST_0_i_61_n_0)); + LUT4 #( + .INIT(16'h2F02)) + flag_o_INST_0_i_62 + (.I0(a_i[0]), + .I1(b_i[0]), + .I2(b_i[1]), + .I3(a_i[1]), + .O(flag_o_INST_0_i_62_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_63 + (.I0(b_i[7]), + .I1(a_i[7]), + .I2(b_i[6]), + .I3(a_i[6]), + .O(flag_o_INST_0_i_63_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_64 + (.I0(b_i[5]), + .I1(a_i[5]), + .I2(b_i[4]), + .I3(a_i[4]), + .O(flag_o_INST_0_i_64_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_65 + (.I0(b_i[3]), + .I1(a_i[3]), + .I2(b_i[2]), + .I3(a_i[2]), + .O(flag_o_INST_0_i_65_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_66 + (.I0(b_i[1]), + .I1(a_i[1]), + .I2(b_i[0]), + .I3(a_i[0]), + .O(flag_o_INST_0_i_66_n_0)); + LUT4 #( + .INIT(16'h9009)) + flag_o_INST_0_i_7 + (.I0(b_i[30]), + .I1(a_i[30]), + .I2(b_i[31]), + .I3(a_i[31]), + .O(flag_o_INST_0_i_7_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_8 + (.I0(a_i[29]), + .I1(b_i[29]), + .I2(a_i[28]), + .I3(b_i[28]), + .I4(b_i[27]), + .I5(a_i[27]), + .O(flag_o_INST_0_i_8_n_0)); + LUT6 #( + .INIT(64'h9009000000009009)) + flag_o_INST_0_i_9 + (.I0(a_i[26]), + .I1(b_i[26]), + .I2(a_i[25]), + .I3(b_i[25]), + .I4(b_i[24]), + .I5(a_i[24]), + .O(flag_o_INST_0_i_9_n_0)); + LUT6 #( + .INIT(64'hFFFFFEEEFEEEFEEE)) + \result_o[0]_INST_0 + (.I0(\result_o[0]_INST_0_i_1_n_0 ), + .I1(\result_o[0]_INST_0_i_2_n_0 ), + .I2(\result_o[0]_INST_0_i_3_n_0 ), + .I3(data6), + .I4(\result_o[0]_INST_0_i_5_n_0 ), + .I5(\result_o[0]_INST_0_i_6_n_0 ), + .O(result_o[0])); + LUT6 #( + .INIT(64'h0023000000200000)) + \result_o[0]_INST_0_i_1 + (.I0(\result_o[0]_INST_0_i_7_n_0 ), + .I1(alu_op_i[1]), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(\result_o[30]_INST_0_i_2_n_0 ), + .I5(data0[0]), + .O(\result_o[0]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h4444444044404440)) + \result_o[0]_INST_0_i_10 + (.I0(b_i[0]), + .I1(b_i[1]), + .I2(\result_o[2]_INST_0_i_14_n_0 ), + .I3(\result_o[2]_INST_0_i_13_n_0 ), + .I4(b_i[2]), + .I5(\result_o[6]_INST_0_i_10_n_0 ), + .O(\result_o[0]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'h0000000000B00080)) + \result_o[0]_INST_0_i_11 + (.I0(a_i[24]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(\result_o[30]_INST_0_i_8_n_0 ), + .I4(a_i[16]), + .I5(b_i[0]), + .O(\result_o[0]_INST_0_i_11_n_0 )); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_12 + (.CI(\result_o[0]_INST_0_i_15_n_0 ), + .CO({data4,\result_o[0]_INST_0_i_12_n_1 ,\result_o[0]_INST_0_i_12_n_2 ,\result_o[0]_INST_0_i_12_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_16_n_0 ,\result_o[0]_INST_0_i_17_n_0 ,\result_o[0]_INST_0_i_18_n_0 ,\result_o[0]_INST_0_i_19_n_0 }), + .S({\result_o[0]_INST_0_i_20_n_0 ,\result_o[0]_INST_0_i_21_n_0 ,\result_o[0]_INST_0_i_22_n_0 ,\result_o[0]_INST_0_i_23_n_0 })); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_13 + (.CI(\result_o[0]_INST_0_i_24_n_0 ), + .CO({\result_o[0]_INST_0_i_13_n_0 ,\result_o[0]_INST_0_i_13_n_1 ,\result_o[0]_INST_0_i_13_n_2 ,\result_o[0]_INST_0_i_13_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_25_n_0 ,\result_o[0]_INST_0_i_26_n_0 ,\result_o[0]_INST_0_i_27_n_0 ,\result_o[0]_INST_0_i_28_n_0 }), + .S({\result_o[0]_INST_0_i_29_n_0 ,\result_o[0]_INST_0_i_30_n_0 ,\result_o[0]_INST_0_i_31_n_0 ,\result_o[0]_INST_0_i_32_n_0 })); + LUT6 #( + .INIT(64'h0000000000040000)) + \result_o[0]_INST_0_i_14 + (.I0(b_i[0]), + .I1(a_i[8]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[0]_INST_0_i_14_n_0 )); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_15 + (.CI(\result_o[0]_INST_0_i_33_n_0 ), + .CO({\result_o[0]_INST_0_i_15_n_0 ,\result_o[0]_INST_0_i_15_n_1 ,\result_o[0]_INST_0_i_15_n_2 ,\result_o[0]_INST_0_i_15_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_34_n_0 ,\result_o[0]_INST_0_i_35_n_0 ,\result_o[0]_INST_0_i_36_n_0 ,\result_o[0]_INST_0_i_37_n_0 }), + .S({\result_o[0]_INST_0_i_38_n_0 ,\result_o[0]_INST_0_i_39_n_0 ,\result_o[0]_INST_0_i_40_n_0 ,\result_o[0]_INST_0_i_41_n_0 })); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_16 + (.I0(b_i[30]), + .I1(a_i[30]), + .I2(a_i[31]), + .I3(b_i[31]), + .O(\result_o[0]_INST_0_i_16_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_17 + (.I0(b_i[28]), + .I1(a_i[28]), + .I2(a_i[29]), + .I3(b_i[29]), + .O(\result_o[0]_INST_0_i_17_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_18 + (.I0(b_i[26]), + .I1(a_i[26]), + .I2(a_i[27]), + .I3(b_i[27]), + .O(\result_o[0]_INST_0_i_18_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_19 + (.I0(b_i[24]), + .I1(a_i[24]), + .I2(a_i[25]), + .I3(b_i[25]), + .O(\result_o[0]_INST_0_i_19_n_0 )); + LUT6 #( + .INIT(64'hF888888888888888)) + \result_o[0]_INST_0_i_2 + (.I0(data1[0]), + .I1(\result_o[28]_INST_0_i_4_n_0 ), + .I2(\result_o[31]_INST_0_i_11_n_0 ), + .I3(a_i[0]), + .I4(\result_o[30]_INST_0_i_2_n_0 ), + .I5(\result_o[0]_INST_0_i_8_n_0 ), + .O(\result_o[0]_INST_0_i_2_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_20 + (.I0(b_i[31]), + .I1(a_i[31]), + .I2(b_i[30]), + .I3(a_i[30]), + .O(\result_o[0]_INST_0_i_20_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_21 + (.I0(b_i[29]), + .I1(a_i[29]), + .I2(b_i[28]), + .I3(a_i[28]), + .O(\result_o[0]_INST_0_i_21_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_22 + (.I0(b_i[27]), + .I1(a_i[27]), + .I2(b_i[26]), + .I3(a_i[26]), + .O(\result_o[0]_INST_0_i_22_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_23 + (.I0(b_i[25]), + .I1(a_i[25]), + .I2(b_i[24]), + .I3(a_i[24]), + .O(\result_o[0]_INST_0_i_23_n_0 )); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_24 + (.CI(\result_o[0]_INST_0_i_42_n_0 ), + .CO({\result_o[0]_INST_0_i_24_n_0 ,\result_o[0]_INST_0_i_24_n_1 ,\result_o[0]_INST_0_i_24_n_2 ,\result_o[0]_INST_0_i_24_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_43_n_0 ,\result_o[0]_INST_0_i_44_n_0 ,\result_o[0]_INST_0_i_45_n_0 ,\result_o[0]_INST_0_i_46_n_0 }), + .S({\result_o[0]_INST_0_i_47_n_0 ,\result_o[0]_INST_0_i_48_n_0 ,\result_o[0]_INST_0_i_49_n_0 ,\result_o[0]_INST_0_i_50_n_0 })); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_25 + (.I0(b_i[30]), + .I1(a_i[30]), + .I2(b_i[31]), + .I3(a_i[31]), + .O(\result_o[0]_INST_0_i_25_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_26 + (.I0(b_i[28]), + .I1(a_i[28]), + .I2(a_i[29]), + .I3(b_i[29]), + .O(\result_o[0]_INST_0_i_26_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_27 + (.I0(b_i[26]), + .I1(a_i[26]), + .I2(a_i[27]), + .I3(b_i[27]), + .O(\result_o[0]_INST_0_i_27_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_28 + (.I0(b_i[24]), + .I1(a_i[24]), + .I2(a_i[25]), + .I3(b_i[25]), + .O(\result_o[0]_INST_0_i_28_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_29 + (.I0(b_i[31]), + .I1(a_i[31]), + .I2(b_i[30]), + .I3(a_i[30]), + .O(\result_o[0]_INST_0_i_29_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT4 #( + .INIT(16'h0008)) + \result_o[0]_INST_0_i_3 + (.I0(alu_op_i[2]), + .I1(alu_op_i[0]), + .I2(alu_op_i[1]), + .I3(alu_op_i[4]), + .O(\result_o[0]_INST_0_i_3_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_30 + (.I0(b_i[29]), + .I1(a_i[29]), + .I2(b_i[28]), + .I3(a_i[28]), + .O(\result_o[0]_INST_0_i_30_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_31 + (.I0(b_i[27]), + .I1(a_i[27]), + .I2(b_i[26]), + .I3(a_i[26]), + .O(\result_o[0]_INST_0_i_31_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_32 + (.I0(b_i[25]), + .I1(a_i[25]), + .I2(b_i[24]), + .I3(a_i[24]), + .O(\result_o[0]_INST_0_i_32_n_0 )); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_33 + (.CI(\result_o[0]_INST_0_i_51_n_0 ), + .CO({\result_o[0]_INST_0_i_33_n_0 ,\result_o[0]_INST_0_i_33_n_1 ,\result_o[0]_INST_0_i_33_n_2 ,\result_o[0]_INST_0_i_33_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_52_n_0 ,\result_o[0]_INST_0_i_53_n_0 ,\result_o[0]_INST_0_i_54_n_0 ,\result_o[0]_INST_0_i_55_n_0 }), + .S({\result_o[0]_INST_0_i_56_n_0 ,\result_o[0]_INST_0_i_57_n_0 ,\result_o[0]_INST_0_i_58_n_0 ,\result_o[0]_INST_0_i_59_n_0 })); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_34 + (.I0(b_i[22]), + .I1(a_i[22]), + .I2(a_i[23]), + .I3(b_i[23]), + .O(\result_o[0]_INST_0_i_34_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_35 + (.I0(b_i[20]), + .I1(a_i[20]), + .I2(a_i[21]), + .I3(b_i[21]), + .O(\result_o[0]_INST_0_i_35_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_36 + (.I0(b_i[18]), + .I1(a_i[18]), + .I2(a_i[19]), + .I3(b_i[19]), + .O(\result_o[0]_INST_0_i_36_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_37 + (.I0(b_i[16]), + .I1(a_i[16]), + .I2(a_i[17]), + .I3(b_i[17]), + .O(\result_o[0]_INST_0_i_37_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_38 + (.I0(b_i[23]), + .I1(a_i[23]), + .I2(b_i[22]), + .I3(a_i[22]), + .O(\result_o[0]_INST_0_i_38_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_39 + (.I0(b_i[21]), + .I1(a_i[21]), + .I2(b_i[20]), + .I3(a_i[20]), + .O(\result_o[0]_INST_0_i_39_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFFE0)) + \result_o[0]_INST_0_i_4 + (.I0(\result_o[1]_INST_0_i_7_n_0 ), + .I1(\result_o[1]_INST_0_i_6_n_0 ), + .I2(b_i[0]), + .I3(\result_o[0]_INST_0_i_9_n_0 ), + .I4(\result_o[0]_INST_0_i_10_n_0 ), + .I5(\result_o[0]_INST_0_i_11_n_0 ), + .O(data6)); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_40 + (.I0(b_i[19]), + .I1(a_i[19]), + .I2(b_i[18]), + .I3(a_i[18]), + .O(\result_o[0]_INST_0_i_40_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_41 + (.I0(b_i[17]), + .I1(a_i[17]), + .I2(b_i[16]), + .I3(a_i[16]), + .O(\result_o[0]_INST_0_i_41_n_0 )); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_42 + (.CI(\result_o[0]_INST_0_i_60_n_0 ), + .CO({\result_o[0]_INST_0_i_42_n_0 ,\result_o[0]_INST_0_i_42_n_1 ,\result_o[0]_INST_0_i_42_n_2 ,\result_o[0]_INST_0_i_42_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_61_n_0 ,\result_o[0]_INST_0_i_62_n_0 ,\result_o[0]_INST_0_i_63_n_0 ,\result_o[0]_INST_0_i_64_n_0 }), + .S({\result_o[0]_INST_0_i_65_n_0 ,\result_o[0]_INST_0_i_66_n_0 ,\result_o[0]_INST_0_i_67_n_0 ,\result_o[0]_INST_0_i_68_n_0 })); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_43 + (.I0(b_i[22]), + .I1(a_i[22]), + .I2(a_i[23]), + .I3(b_i[23]), + .O(\result_o[0]_INST_0_i_43_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_44 + (.I0(b_i[20]), + .I1(a_i[20]), + .I2(a_i[21]), + .I3(b_i[21]), + .O(\result_o[0]_INST_0_i_44_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_45 + (.I0(b_i[18]), + .I1(a_i[18]), + .I2(a_i[19]), + .I3(b_i[19]), + .O(\result_o[0]_INST_0_i_45_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_46 + (.I0(b_i[16]), + .I1(a_i[16]), + .I2(a_i[17]), + .I3(b_i[17]), + .O(\result_o[0]_INST_0_i_46_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_47 + (.I0(b_i[23]), + .I1(a_i[23]), + .I2(b_i[22]), + .I3(a_i[22]), + .O(\result_o[0]_INST_0_i_47_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_48 + (.I0(b_i[21]), + .I1(a_i[21]), + .I2(b_i[20]), + .I3(a_i[20]), + .O(\result_o[0]_INST_0_i_48_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_49 + (.I0(b_i[19]), + .I1(a_i[19]), + .I2(b_i[18]), + .I3(a_i[18]), + .O(\result_o[0]_INST_0_i_49_n_0 )); + LUT3 #( + .INIT(8'h10)) + \result_o[0]_INST_0_i_5 + (.I0(alu_op_i[4]), + .I1(alu_op_i[3]), + .I2(alu_op_i[1]), + .O(\result_o[0]_INST_0_i_5_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_50 + (.I0(b_i[17]), + .I1(a_i[17]), + .I2(b_i[16]), + .I3(a_i[16]), + .O(\result_o[0]_INST_0_i_50_n_0 )); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_51 + (.CI(\ ), + .CO({\result_o[0]_INST_0_i_51_n_0 ,\result_o[0]_INST_0_i_51_n_1 ,\result_o[0]_INST_0_i_51_n_2 ,\result_o[0]_INST_0_i_51_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_69_n_0 ,\result_o[0]_INST_0_i_70_n_0 ,\result_o[0]_INST_0_i_71_n_0 ,\result_o[0]_INST_0_i_72_n_0 }), + .S({\result_o[0]_INST_0_i_73_n_0 ,\result_o[0]_INST_0_i_74_n_0 ,\result_o[0]_INST_0_i_75_n_0 ,\result_o[0]_INST_0_i_76_n_0 })); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_52 + (.I0(b_i[14]), + .I1(a_i[14]), + .I2(a_i[15]), + .I3(b_i[15]), + .O(\result_o[0]_INST_0_i_52_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_53 + (.I0(b_i[12]), + .I1(a_i[12]), + .I2(a_i[13]), + .I3(b_i[13]), + .O(\result_o[0]_INST_0_i_53_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_54 + (.I0(b_i[10]), + .I1(a_i[10]), + .I2(a_i[11]), + .I3(b_i[11]), + .O(\result_o[0]_INST_0_i_54_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_55 + (.I0(b_i[8]), + .I1(a_i[8]), + .I2(a_i[9]), + .I3(b_i[9]), + .O(\result_o[0]_INST_0_i_55_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_56 + (.I0(b_i[15]), + .I1(a_i[15]), + .I2(b_i[14]), + .I3(a_i[14]), + .O(\result_o[0]_INST_0_i_56_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_57 + (.I0(b_i[13]), + .I1(a_i[13]), + .I2(b_i[12]), + .I3(a_i[12]), + .O(\result_o[0]_INST_0_i_57_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_58 + (.I0(b_i[11]), + .I1(a_i[11]), + .I2(b_i[10]), + .I3(a_i[10]), + .O(\result_o[0]_INST_0_i_58_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_59 + (.I0(b_i[9]), + .I1(a_i[9]), + .I2(b_i[8]), + .I3(a_i[8]), + .O(\result_o[0]_INST_0_i_59_n_0 )); + LUT6 #( + .INIT(64'hF000AAAAFFF0CCCC)) + \result_o[0]_INST_0_i_6 + (.I0(data4), + .I1(\result_o[0]_INST_0_i_13_n_0 ), + .I2(b_i[0]), + .I3(a_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[0]_INST_0_i_6_n_0 )); + (* COMPARATOR_THRESHOLD = "11" *) + CARRY4 \result_o[0]_INST_0_i_60 + (.CI(\ ), + .CO({\result_o[0]_INST_0_i_60_n_0 ,\result_o[0]_INST_0_i_60_n_1 ,\result_o[0]_INST_0_i_60_n_2 ,\result_o[0]_INST_0_i_60_n_3 }), + .CYINIT(\ ), + .DI({\result_o[0]_INST_0_i_77_n_0 ,\result_o[0]_INST_0_i_78_n_0 ,\result_o[0]_INST_0_i_79_n_0 ,\result_o[0]_INST_0_i_80_n_0 }), + .S({\result_o[0]_INST_0_i_81_n_0 ,\result_o[0]_INST_0_i_82_n_0 ,\result_o[0]_INST_0_i_83_n_0 ,\result_o[0]_INST_0_i_84_n_0 })); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_61 + (.I0(b_i[14]), + .I1(a_i[14]), + .I2(a_i[15]), + .I3(b_i[15]), + .O(\result_o[0]_INST_0_i_61_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_62 + (.I0(b_i[12]), + .I1(a_i[12]), + .I2(a_i[13]), + .I3(b_i[13]), + .O(\result_o[0]_INST_0_i_62_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_63 + (.I0(b_i[10]), + .I1(a_i[10]), + .I2(a_i[11]), + .I3(b_i[11]), + .O(\result_o[0]_INST_0_i_63_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_64 + (.I0(b_i[8]), + .I1(a_i[8]), + .I2(a_i[9]), + .I3(b_i[9]), + .O(\result_o[0]_INST_0_i_64_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_65 + (.I0(b_i[15]), + .I1(a_i[15]), + .I2(b_i[14]), + .I3(a_i[14]), + .O(\result_o[0]_INST_0_i_65_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_66 + (.I0(b_i[13]), + .I1(a_i[13]), + .I2(b_i[12]), + .I3(a_i[12]), + .O(\result_o[0]_INST_0_i_66_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_67 + (.I0(b_i[11]), + .I1(a_i[11]), + .I2(b_i[10]), + .I3(a_i[10]), + .O(\result_o[0]_INST_0_i_67_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_68 + (.I0(b_i[9]), + .I1(a_i[9]), + .I2(b_i[8]), + .I3(a_i[8]), + .O(\result_o[0]_INST_0_i_68_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_69 + (.I0(b_i[6]), + .I1(a_i[6]), + .I2(a_i[7]), + .I3(b_i[7]), + .O(\result_o[0]_INST_0_i_69_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[0]_INST_0_i_7 + (.I0(a_i[0]), + .I1(b_i[0]), + .O(\result_o[0]_INST_0_i_7_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_70 + (.I0(b_i[4]), + .I1(a_i[4]), + .I2(a_i[5]), + .I3(b_i[5]), + .O(\result_o[0]_INST_0_i_70_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_71 + (.I0(b_i[2]), + .I1(a_i[2]), + .I2(a_i[3]), + .I3(b_i[3]), + .O(\result_o[0]_INST_0_i_71_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_72 + (.I0(b_i[0]), + .I1(a_i[0]), + .I2(a_i[1]), + .I3(b_i[1]), + .O(\result_o[0]_INST_0_i_72_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_73 + (.I0(b_i[7]), + .I1(a_i[7]), + .I2(b_i[6]), + .I3(a_i[6]), + .O(\result_o[0]_INST_0_i_73_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_74 + (.I0(b_i[5]), + .I1(a_i[5]), + .I2(b_i[4]), + .I3(a_i[4]), + .O(\result_o[0]_INST_0_i_74_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_75 + (.I0(b_i[3]), + .I1(a_i[3]), + .I2(b_i[2]), + .I3(a_i[2]), + .O(\result_o[0]_INST_0_i_75_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_76 + (.I0(b_i[1]), + .I1(a_i[1]), + .I2(b_i[0]), + .I3(a_i[0]), + .O(\result_o[0]_INST_0_i_76_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_77 + (.I0(b_i[6]), + .I1(a_i[6]), + .I2(a_i[7]), + .I3(b_i[7]), + .O(\result_o[0]_INST_0_i_77_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_78 + (.I0(b_i[4]), + .I1(a_i[4]), + .I2(a_i[5]), + .I3(b_i[5]), + .O(\result_o[0]_INST_0_i_78_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_79 + (.I0(b_i[2]), + .I1(a_i[2]), + .I2(a_i[3]), + .I3(b_i[3]), + .O(\result_o[0]_INST_0_i_79_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT4 #( + .INIT(16'h0001)) + \result_o[0]_INST_0_i_8 + (.I0(b_i[2]), + .I1(b_i[1]), + .I2(b_i[4]), + .I3(b_i[3]), + .O(\result_o[0]_INST_0_i_8_n_0 )); + LUT4 #( + .INIT(16'h2F02)) + \result_o[0]_INST_0_i_80 + (.I0(b_i[0]), + .I1(a_i[0]), + .I2(a_i[1]), + .I3(b_i[1]), + .O(\result_o[0]_INST_0_i_80_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_81 + (.I0(b_i[7]), + .I1(a_i[7]), + .I2(b_i[6]), + .I3(a_i[6]), + .O(\result_o[0]_INST_0_i_81_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_82 + (.I0(b_i[5]), + .I1(a_i[5]), + .I2(b_i[4]), + .I3(a_i[4]), + .O(\result_o[0]_INST_0_i_82_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_83 + (.I0(b_i[3]), + .I1(a_i[3]), + .I2(b_i[2]), + .I3(a_i[2]), + .O(\result_o[0]_INST_0_i_83_n_0 )); + LUT4 #( + .INIT(16'h9009)) + \result_o[0]_INST_0_i_84 + (.I0(b_i[1]), + .I1(a_i[1]), + .I2(b_i[0]), + .I3(a_i[0]), + .O(\result_o[0]_INST_0_i_84_n_0 )); + LUT6 #( + .INIT(64'hF0F0F0F0FFF8F8F8)) + \result_o[0]_INST_0_i_9 + (.I0(a_i[0]), + .I1(\result_o[0]_INST_0_i_8_n_0 ), + .I2(\result_o[0]_INST_0_i_14_n_0 ), + .I3(\result_o[4]_INST_0_i_10_n_0 ), + .I4(\result_o[31]_INST_0_i_25_n_0 ), + .I5(b_i[0]), + .O(\result_o[0]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[10]_INST_0 + (.I0(\result_o[10]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[10]_INST_0_i_2_n_0 ), + .I3(\result_o[10]_INST_0_i_3_n_0 ), + .I4(\result_o[10]_INST_0_i_4_n_0 ), + .I5(\result_o[10]_INST_0_i_5_n_0 ), + .O(result_o[10])); + (* SOFT_HLUTNM = "soft_lutpair39" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[10]_INST_0_i_1 + (.I0(\result_o[11]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[10]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[10]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hFF00AAAACCCCF0F0)) + \result_o[10]_INST_0_i_10 + (.I0(a_i[26]), + .I1(a_i[18]), + .I2(a_i[10]), + .I3(a_i[31]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[10]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[10]_INST_0_i_11 + (.I0(a_i[3]), + .I1(a_i[5]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[10]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT5 #( + .INIT(32'h3E0E3202)) + \result_o[10]_INST_0_i_12 + (.I0(a_i[10]), + .I1(b_i[4]), + .I2(b_i[3]), + .I3(a_i[18]), + .I4(a_i[26]), + .O(\result_o[10]_INST_0_i_12_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[10]_INST_0_i_2 + (.I0(\result_o[10]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[11]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[10]_INST_0_i_8_n_0 ), + .O(\result_o[10]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[10]_INST_0_i_3 + (.I0(data0[10]), + .I1(a_i[10]), + .I2(b_i[10]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[10]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[10]_INST_0_i_4 + (.I0(\result_o[11]_INST_0_i_10_n_0 ), + .I1(\result_o[10]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[10]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[10]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[10]), + .O(\result_o[10]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[10]_INST_0_i_6 + (.I0(\result_o[14]_INST_0_i_10_n_0 ), + .I1(\result_o[16]_INST_0_i_9_n_0 ), + .I2(\result_o[10]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[12]_INST_0_i_10_n_0 ), + .O(\result_o[10]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[10]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[10]), + .I4(b_i[10]), + .O(\result_o[10]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hAAAEAFAEAAAEAAAE)) + \result_o[10]_INST_0_i_8 + (.I0(\result_o[10]_INST_0_i_11_n_0 ), + .I1(\result_o[16]_INST_0_i_11_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[30]_INST_0_i_9_n_0 ), + .I5(a_i[7]), + .O(\result_o[10]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[10]_INST_0_i_9 + (.I0(\result_o[14]_INST_0_i_12_n_0 ), + .I1(\result_o[16]_INST_0_i_10_n_0 ), + .I2(\result_o[10]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[12]_INST_0_i_12_n_0 ), + .O(\result_o[10]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[11]_INST_0 + (.I0(\result_o[11]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[11]_INST_0_i_2_n_0 ), + .I3(\result_o[11]_INST_0_i_3_n_0 ), + .I4(\result_o[11]_INST_0_i_4_n_0 ), + .I5(\result_o[11]_INST_0_i_5_n_0 ), + .O(result_o[11])); + (* SOFT_HLUTNM = "soft_lutpair39" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[11]_INST_0_i_1 + (.I0(\result_o[12]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[11]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[11]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[11]_INST_0_i_10 + (.I0(\result_o[15]_INST_0_i_18_n_0 ), + .I1(\result_o[17]_INST_0_i_10_n_0 ), + .I2(\result_o[11]_INST_0_i_18_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[13]_INST_0_i_12_n_0 ), + .O(\result_o[11]_INST_0_i_10_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[11]_INST_0_i_11 + (.CI(\result_o[7]_INST_0_i_11_n_0 ), + .CO({\result_o[11]_INST_0_i_11_n_0 ,\result_o[11]_INST_0_i_11_n_1 ,\result_o[11]_INST_0_i_11_n_2 ,\result_o[11]_INST_0_i_11_n_3 }), + .CYINIT(\ ), + .DI(a_i[11:8]), + .O(data1[11:8]), + .S({\result_o[11]_INST_0_i_19_n_0 ,\result_o[11]_INST_0_i_20_n_0 ,\result_o[11]_INST_0_i_21_n_0 ,\result_o[11]_INST_0_i_22_n_0 })); + LUT6 #( + .INIT(64'hFF00AAAACCCCF0F0)) + \result_o[11]_INST_0_i_12 + (.I0(a_i[27]), + .I1(a_i[19]), + .I2(a_i[11]), + .I3(a_i[31]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[11]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[11]_INST_0_i_13 + (.I0(a_i[4]), + .I1(a_i[6]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[11]_INST_0_i_13_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[11]_INST_0_i_14 + (.I0(a_i[11]), + .I1(b_i[11]), + .O(\result_o[11]_INST_0_i_14_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[11]_INST_0_i_15 + (.I0(a_i[10]), + .I1(b_i[10]), + .O(\result_o[11]_INST_0_i_15_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[11]_INST_0_i_16 + (.I0(a_i[9]), + .I1(b_i[9]), + .O(\result_o[11]_INST_0_i_16_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[11]_INST_0_i_17 + (.I0(a_i[8]), + .I1(b_i[8]), + .O(\result_o[11]_INST_0_i_17_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT5 #( + .INIT(32'h3E0E3202)) + \result_o[11]_INST_0_i_18 + (.I0(a_i[11]), + .I1(b_i[4]), + .I2(b_i[3]), + .I3(a_i[19]), + .I4(a_i[27]), + .O(\result_o[11]_INST_0_i_18_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[11]_INST_0_i_19 + (.I0(b_i[11]), + .I1(a_i[11]), + .O(\result_o[11]_INST_0_i_19_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[11]_INST_0_i_2 + (.I0(\result_o[11]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[12]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[11]_INST_0_i_8_n_0 ), + .O(\result_o[11]_INST_0_i_2_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[11]_INST_0_i_20 + (.I0(b_i[10]), + .I1(a_i[10]), + .O(\result_o[11]_INST_0_i_20_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[11]_INST_0_i_21 + (.I0(b_i[9]), + .I1(a_i[9]), + .O(\result_o[11]_INST_0_i_21_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[11]_INST_0_i_22 + (.I0(b_i[8]), + .I1(a_i[8]), + .O(\result_o[11]_INST_0_i_22_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[11]_INST_0_i_3 + (.I0(data0[11]), + .I1(a_i[11]), + .I2(b_i[11]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[11]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[11]_INST_0_i_4 + (.I0(\result_o[12]_INST_0_i_9_n_0 ), + .I1(\result_o[11]_INST_0_i_10_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[11]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[11]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[11]), + .O(\result_o[11]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[11]_INST_0_i_6 + (.I0(\result_o[15]_INST_0_i_12_n_0 ), + .I1(\result_o[17]_INST_0_i_9_n_0 ), + .I2(\result_o[11]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[13]_INST_0_i_10_n_0 ), + .O(\result_o[11]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[11]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[11]), + .I4(b_i[11]), + .O(\result_o[11]_INST_0_i_7_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT5 #( + .INIT(32'hAFAEAAAE)) + \result_o[11]_INST_0_i_8 + (.I0(\result_o[11]_INST_0_i_13_n_0 ), + .I1(\result_o[17]_INST_0_i_11_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[15]_INST_0_i_13_n_0 ), + .O(\result_o[11]_INST_0_i_8_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[11]_INST_0_i_9 + (.CI(\result_o[7]_INST_0_i_9_n_0 ), + .CO({\result_o[11]_INST_0_i_9_n_0 ,\result_o[11]_INST_0_i_9_n_1 ,\result_o[11]_INST_0_i_9_n_2 ,\result_o[11]_INST_0_i_9_n_3 }), + .CYINIT(\ ), + .DI(a_i[11:8]), + .O(data0[11:8]), + .S({\result_o[11]_INST_0_i_14_n_0 ,\result_o[11]_INST_0_i_15_n_0 ,\result_o[11]_INST_0_i_16_n_0 ,\result_o[11]_INST_0_i_17_n_0 })); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[12]_INST_0 + (.I0(\result_o[12]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[12]_INST_0_i_2_n_0 ), + .I3(\result_o[12]_INST_0_i_3_n_0 ), + .I4(\result_o[12]_INST_0_i_4_n_0 ), + .I5(\result_o[12]_INST_0_i_5_n_0 ), + .O(result_o[12])); + (* SOFT_HLUTNM = "soft_lutpair40" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[12]_INST_0_i_1 + (.I0(\result_o[13]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[12]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[12]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hFF00AAAACCCCF0F0)) + \result_o[12]_INST_0_i_10 + (.I0(a_i[28]), + .I1(a_i[20]), + .I2(a_i[12]), + .I3(a_i[31]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[12]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[12]_INST_0_i_11 + (.I0(a_i[5]), + .I1(a_i[7]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[12]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT5 #( + .INIT(32'h3E0E3202)) + \result_o[12]_INST_0_i_12 + (.I0(a_i[12]), + .I1(b_i[4]), + .I2(b_i[3]), + .I3(a_i[20]), + .I4(a_i[28]), + .O(\result_o[12]_INST_0_i_12_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[12]_INST_0_i_2 + (.I0(\result_o[12]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[13]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[12]_INST_0_i_8_n_0 ), + .O(\result_o[12]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[12]_INST_0_i_3 + (.I0(data0[12]), + .I1(a_i[12]), + .I2(b_i[12]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[12]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[12]_INST_0_i_4 + (.I0(\result_o[13]_INST_0_i_9_n_0 ), + .I1(\result_o[12]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[12]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[12]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[12]), + .O(\result_o[12]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[12]_INST_0_i_6 + (.I0(\result_o[16]_INST_0_i_9_n_0 ), + .I1(\result_o[18]_INST_0_i_9_n_0 ), + .I2(\result_o[12]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[14]_INST_0_i_10_n_0 ), + .O(\result_o[12]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[12]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[12]), + .I4(b_i[12]), + .O(\result_o[12]_INST_0_i_7_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT5 #( + .INIT(32'hAFAEAAAE)) + \result_o[12]_INST_0_i_8 + (.I0(\result_o[12]_INST_0_i_11_n_0 ), + .I1(\result_o[18]_INST_0_i_12_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[16]_INST_0_i_11_n_0 ), + .O(\result_o[12]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[12]_INST_0_i_9 + (.I0(\result_o[16]_INST_0_i_10_n_0 ), + .I1(\result_o[18]_INST_0_i_11_n_0 ), + .I2(\result_o[12]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[14]_INST_0_i_12_n_0 ), + .O(\result_o[12]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[13]_INST_0 + (.I0(\result_o[13]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[13]_INST_0_i_2_n_0 ), + .I3(\result_o[13]_INST_0_i_3_n_0 ), + .I4(\result_o[13]_INST_0_i_4_n_0 ), + .I5(\result_o[13]_INST_0_i_5_n_0 ), + .O(result_o[13])); + (* SOFT_HLUTNM = "soft_lutpair40" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[13]_INST_0_i_1 + (.I0(\result_o[14]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[13]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[13]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hFF00AAAACCCCF0F0)) + \result_o[13]_INST_0_i_10 + (.I0(a_i[29]), + .I1(a_i[21]), + .I2(a_i[13]), + .I3(a_i[31]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[13]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair6" *) + LUT5 #( + .INIT(32'h10000000)) + \result_o[13]_INST_0_i_11 + (.I0(b_i[3]), + .I1(b_i[4]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[6]), + .O(\result_o[13]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT5 #( + .INIT(32'h3E0E3202)) + \result_o[13]_INST_0_i_12 + (.I0(a_i[13]), + .I1(b_i[4]), + .I2(b_i[3]), + .I3(a_i[21]), + .I4(a_i[29]), + .O(\result_o[13]_INST_0_i_12_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[13]_INST_0_i_2 + (.I0(\result_o[13]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[14]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[13]_INST_0_i_8_n_0 ), + .O(\result_o[13]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[13]_INST_0_i_3 + (.I0(data0[13]), + .I1(a_i[13]), + .I2(b_i[13]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[13]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[13]_INST_0_i_4 + (.I0(\result_o[14]_INST_0_i_9_n_0 ), + .I1(\result_o[13]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[13]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[13]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[13]), + .O(\result_o[13]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[13]_INST_0_i_6 + (.I0(\result_o[17]_INST_0_i_9_n_0 ), + .I1(\result_o[19]_INST_0_i_11_n_0 ), + .I2(\result_o[13]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[15]_INST_0_i_12_n_0 ), + .O(\result_o[13]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[13]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[13]), + .I4(b_i[13]), + .O(\result_o[13]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFEEFCCCCCEEFC)) + \result_o[13]_INST_0_i_8 + (.I0(\result_o[15]_INST_0_i_13_n_0 ), + .I1(\result_o[13]_INST_0_i_11_n_0 ), + .I2(\result_o[19]_INST_0_i_14_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[17]_INST_0_i_11_n_0 ), + .O(\result_o[13]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[13]_INST_0_i_9 + (.I0(\result_o[17]_INST_0_i_10_n_0 ), + .I1(\result_o[19]_INST_0_i_13_n_0 ), + .I2(\result_o[13]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[15]_INST_0_i_18_n_0 ), + .O(\result_o[13]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[14]_INST_0 + (.I0(\result_o[14]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[14]_INST_0_i_2_n_0 ), + .I3(\result_o[14]_INST_0_i_3_n_0 ), + .I4(\result_o[14]_INST_0_i_4_n_0 ), + .I5(\result_o[14]_INST_0_i_5_n_0 ), + .O(result_o[14])); + (* SOFT_HLUTNM = "soft_lutpair41" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[14]_INST_0_i_1 + (.I0(\result_o[15]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[14]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[14]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hFF00CCCCAAAAF0F0)) + \result_o[14]_INST_0_i_10 + (.I0(a_i[22]), + .I1(a_i[30]), + .I2(a_i[14]), + .I3(a_i[31]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[14]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT5 #( + .INIT(32'h10000000)) + \result_o[14]_INST_0_i_11 + (.I0(b_i[3]), + .I1(b_i[4]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[7]), + .O(\result_o[14]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT5 #( + .INIT(32'h3E0E3202)) + \result_o[14]_INST_0_i_12 + (.I0(a_i[14]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[30]), + .I4(a_i[22]), + .O(\result_o[14]_INST_0_i_12_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[14]_INST_0_i_2 + (.I0(\result_o[14]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[15]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[14]_INST_0_i_8_n_0 ), + .O(\result_o[14]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[14]_INST_0_i_3 + (.I0(data0[14]), + .I1(a_i[14]), + .I2(b_i[14]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[14]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[14]_INST_0_i_4 + (.I0(\result_o[15]_INST_0_i_10_n_0 ), + .I1(\result_o[14]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[14]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[14]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[14]), + .O(\result_o[14]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[14]_INST_0_i_6 + (.I0(\result_o[18]_INST_0_i_9_n_0 ), + .I1(\result_o[20]_INST_0_i_9_n_0 ), + .I2(\result_o[14]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[16]_INST_0_i_9_n_0 ), + .O(\result_o[14]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[14]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[14]), + .I4(b_i[14]), + .O(\result_o[14]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFEEFCCCCCEEFC)) + \result_o[14]_INST_0_i_8 + (.I0(\result_o[16]_INST_0_i_11_n_0 ), + .I1(\result_o[14]_INST_0_i_11_n_0 ), + .I2(\result_o[20]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[18]_INST_0_i_12_n_0 ), + .O(\result_o[14]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[14]_INST_0_i_9 + (.I0(\result_o[18]_INST_0_i_11_n_0 ), + .I1(\result_o[20]_INST_0_i_11_n_0 ), + .I2(\result_o[14]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[16]_INST_0_i_10_n_0 ), + .O(\result_o[14]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[15]_INST_0 + (.I0(\result_o[15]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[15]_INST_0_i_2_n_0 ), + .I3(\result_o[15]_INST_0_i_3_n_0 ), + .I4(\result_o[15]_INST_0_i_4_n_0 ), + .I5(\result_o[15]_INST_0_i_5_n_0 ), + .O(result_o[15])); + (* SOFT_HLUTNM = "soft_lutpair41" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[15]_INST_0_i_1 + (.I0(\result_o[16]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[15]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[15]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[15]_INST_0_i_10 + (.I0(\result_o[19]_INST_0_i_13_n_0 ), + .I1(\result_o[21]_INST_0_i_11_n_0 ), + .I2(\result_o[15]_INST_0_i_18_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[17]_INST_0_i_10_n_0 ), + .O(\result_o[15]_INST_0_i_10_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[15]_INST_0_i_11 + (.CI(\result_o[11]_INST_0_i_11_n_0 ), + .CO({\result_o[15]_INST_0_i_11_n_0 ,\result_o[15]_INST_0_i_11_n_1 ,\result_o[15]_INST_0_i_11_n_2 ,\result_o[15]_INST_0_i_11_n_3 }), + .CYINIT(\ ), + .DI(a_i[15:12]), + .O(data1[15:12]), + .S({\result_o[15]_INST_0_i_19_n_0 ,\result_o[15]_INST_0_i_20_n_0 ,\result_o[15]_INST_0_i_21_n_0 ,\result_o[15]_INST_0_i_22_n_0 })); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT5 #( + .INIT(32'hFF00E2E2)) + \result_o[15]_INST_0_i_12 + (.I0(a_i[15]), + .I1(b_i[3]), + .I2(a_i[23]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[15]_INST_0_i_12_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[15]_INST_0_i_13 + (.I0(a_i[0]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[8]), + .O(\result_o[15]_INST_0_i_13_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[15]_INST_0_i_14 + (.I0(a_i[15]), + .I1(b_i[15]), + .O(\result_o[15]_INST_0_i_14_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[15]_INST_0_i_15 + (.I0(a_i[14]), + .I1(b_i[14]), + .O(\result_o[15]_INST_0_i_15_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[15]_INST_0_i_16 + (.I0(a_i[13]), + .I1(b_i[13]), + .O(\result_o[15]_INST_0_i_16_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[15]_INST_0_i_17 + (.I0(a_i[12]), + .I1(b_i[12]), + .O(\result_o[15]_INST_0_i_17_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT5 #( + .INIT(32'h0FAC00AC)) + \result_o[15]_INST_0_i_18 + (.I0(a_i[31]), + .I1(a_i[15]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(a_i[23]), + .O(\result_o[15]_INST_0_i_18_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[15]_INST_0_i_19 + (.I0(b_i[15]), + .I1(a_i[15]), + .O(\result_o[15]_INST_0_i_19_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[15]_INST_0_i_2 + (.I0(\result_o[15]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[16]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[15]_INST_0_i_8_n_0 ), + .O(\result_o[15]_INST_0_i_2_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[15]_INST_0_i_20 + (.I0(b_i[14]), + .I1(a_i[14]), + .O(\result_o[15]_INST_0_i_20_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[15]_INST_0_i_21 + (.I0(b_i[13]), + .I1(a_i[13]), + .O(\result_o[15]_INST_0_i_21_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[15]_INST_0_i_22 + (.I0(b_i[12]), + .I1(a_i[12]), + .O(\result_o[15]_INST_0_i_22_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[15]_INST_0_i_3 + (.I0(data0[15]), + .I1(a_i[15]), + .I2(b_i[15]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[15]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[15]_INST_0_i_4 + (.I0(\result_o[16]_INST_0_i_7_n_0 ), + .I1(\result_o[15]_INST_0_i_10_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[15]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[15]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[15]), + .O(\result_o[15]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[15]_INST_0_i_6 + (.I0(\result_o[19]_INST_0_i_11_n_0 ), + .I1(\result_o[21]_INST_0_i_9_n_0 ), + .I2(\result_o[15]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[17]_INST_0_i_9_n_0 ), + .O(\result_o[15]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[15]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[15]), + .I4(b_i[15]), + .O(\result_o[15]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[15]_INST_0_i_8 + (.I0(\result_o[17]_INST_0_i_11_n_0 ), + .I1(\result_o[15]_INST_0_i_13_n_0 ), + .I2(\result_o[21]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[19]_INST_0_i_14_n_0 ), + .O(\result_o[15]_INST_0_i_8_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[15]_INST_0_i_9 + (.CI(\result_o[11]_INST_0_i_9_n_0 ), + .CO({\result_o[15]_INST_0_i_9_n_0 ,\result_o[15]_INST_0_i_9_n_1 ,\result_o[15]_INST_0_i_9_n_2 ,\result_o[15]_INST_0_i_9_n_3 }), + .CYINIT(\ ), + .DI(a_i[15:12]), + .O(data0[15:12]), + .S({\result_o[15]_INST_0_i_14_n_0 ,\result_o[15]_INST_0_i_15_n_0 ,\result_o[15]_INST_0_i_16_n_0 ,\result_o[15]_INST_0_i_17_n_0 })); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[16]_INST_0 + (.I0(\result_o[16]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[16]_INST_0_i_2_n_0 ), + .I3(\result_o[16]_INST_0_i_3_n_0 ), + .I4(\result_o[16]_INST_0_i_4_n_0 ), + .I5(\result_o[16]_INST_0_i_5_n_0 ), + .O(result_o[16])); + (* SOFT_HLUTNM = "soft_lutpair42" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[16]_INST_0_i_1 + (.I0(\result_o[17]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[16]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[16]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[16]_INST_0_i_10 + (.I0(a_i[24]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[16]), + .O(\result_o[16]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[16]_INST_0_i_11 + (.I0(a_i[1]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[9]), + .O(\result_o[16]_INST_0_i_11_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[16]_INST_0_i_2 + (.I0(\result_o[17]_INST_0_i_7_n_0 ), + .I1(\result_o[16]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[16]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[16]_INST_0_i_3 + (.I0(\result_o[16]_INST_0_i_8_n_0 ), + .I1(\result_o[17]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[16]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[16]_INST_0_i_4 + (.I0(data0[16]), + .I1(b_i[16]), + .I2(a_i[16]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[16]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[16]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[16]), + .O(\result_o[16]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[16]_INST_0_i_6 + (.I0(\result_o[20]_INST_0_i_9_n_0 ), + .I1(\result_o[22]_INST_0_i_9_n_0 ), + .I2(\result_o[16]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[18]_INST_0_i_9_n_0 ), + .O(\result_o[16]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[16]_INST_0_i_7 + (.I0(\result_o[20]_INST_0_i_11_n_0 ), + .I1(\result_o[22]_INST_0_i_11_n_0 ), + .I2(\result_o[16]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[18]_INST_0_i_11_n_0 ), + .O(\result_o[16]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[16]_INST_0_i_8 + (.I0(\result_o[18]_INST_0_i_12_n_0 ), + .I1(\result_o[16]_INST_0_i_11_n_0 ), + .I2(\result_o[22]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[20]_INST_0_i_12_n_0 ), + .O(\result_o[16]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT5 #( + .INIT(32'hFF00E2E2)) + \result_o[16]_INST_0_i_9 + (.I0(a_i[16]), + .I1(b_i[3]), + .I2(a_i[24]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[16]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[17]_INST_0 + (.I0(\result_o[17]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[17]_INST_0_i_2_n_0 ), + .I3(\result_o[17]_INST_0_i_3_n_0 ), + .I4(\result_o[17]_INST_0_i_4_n_0 ), + .I5(\result_o[17]_INST_0_i_5_n_0 ), + .O(result_o[17])); + (* SOFT_HLUTNM = "soft_lutpair42" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[17]_INST_0_i_1 + (.I0(\result_o[18]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[17]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[17]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[17]_INST_0_i_10 + (.I0(a_i[25]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[17]), + .O(\result_o[17]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[17]_INST_0_i_11 + (.I0(a_i[2]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[10]), + .O(\result_o[17]_INST_0_i_11_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[17]_INST_0_i_2 + (.I0(\result_o[18]_INST_0_i_7_n_0 ), + .I1(\result_o[17]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[17]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[17]_INST_0_i_3 + (.I0(\result_o[17]_INST_0_i_8_n_0 ), + .I1(\result_o[18]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[17]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[17]_INST_0_i_4 + (.I0(data0[17]), + .I1(b_i[17]), + .I2(a_i[17]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[17]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[17]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[17]), + .O(\result_o[17]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[17]_INST_0_i_6 + (.I0(\result_o[21]_INST_0_i_9_n_0 ), + .I1(\result_o[23]_INST_0_i_10_n_0 ), + .I2(\result_o[17]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[19]_INST_0_i_11_n_0 ), + .O(\result_o[17]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[17]_INST_0_i_7 + (.I0(\result_o[21]_INST_0_i_11_n_0 ), + .I1(\result_o[23]_INST_0_i_12_n_0 ), + .I2(\result_o[17]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[19]_INST_0_i_13_n_0 ), + .O(\result_o[17]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[17]_INST_0_i_8 + (.I0(\result_o[19]_INST_0_i_14_n_0 ), + .I1(\result_o[17]_INST_0_i_11_n_0 ), + .I2(\result_o[23]_INST_0_i_13_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[21]_INST_0_i_12_n_0 ), + .O(\result_o[17]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT5 #( + .INIT(32'hFF00E2E2)) + \result_o[17]_INST_0_i_9 + (.I0(a_i[17]), + .I1(b_i[3]), + .I2(a_i[25]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[17]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[18]_INST_0 + (.I0(\result_o[18]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[18]_INST_0_i_2_n_0 ), + .I3(\result_o[18]_INST_0_i_3_n_0 ), + .I4(\result_o[18]_INST_0_i_4_n_0 ), + .I5(\result_o[18]_INST_0_i_5_n_0 ), + .O(result_o[18])); + (* SOFT_HLUTNM = "soft_lutpair43" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[18]_INST_0_i_1 + (.I0(\result_o[19]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[18]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[18]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT5 #( + .INIT(32'h10000000)) + \result_o[18]_INST_0_i_10 + (.I0(b_i[3]), + .I1(b_i[4]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[24]), + .O(\result_o[18]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[18]_INST_0_i_11 + (.I0(a_i[26]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[18]), + .O(\result_o[18]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[18]_INST_0_i_12 + (.I0(a_i[3]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[11]), + .O(\result_o[18]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[18]_INST_0_i_2 + (.I0(\result_o[19]_INST_0_i_7_n_0 ), + .I1(\result_o[18]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[18]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[18]_INST_0_i_3 + (.I0(\result_o[18]_INST_0_i_8_n_0 ), + .I1(\result_o[19]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[18]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[18]_INST_0_i_4 + (.I0(data0[18]), + .I1(b_i[18]), + .I2(a_i[18]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[18]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[18]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[18]), + .O(\result_o[18]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[18]_INST_0_i_6 + (.I0(\result_o[22]_INST_0_i_9_n_0 ), + .I1(\result_o[24]_INST_0_i_9_n_0 ), + .I2(\result_o[18]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[20]_INST_0_i_9_n_0 ), + .O(\result_o[18]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hCCFFEEFCCCCCEEFC)) + \result_o[18]_INST_0_i_7 + (.I0(\result_o[22]_INST_0_i_11_n_0 ), + .I1(\result_o[18]_INST_0_i_10_n_0 ), + .I2(\result_o[18]_INST_0_i_11_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[20]_INST_0_i_11_n_0 ), + .O(\result_o[18]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[18]_INST_0_i_8 + (.I0(\result_o[20]_INST_0_i_12_n_0 ), + .I1(\result_o[18]_INST_0_i_12_n_0 ), + .I2(\result_o[24]_INST_0_i_11_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[22]_INST_0_i_12_n_0 ), + .O(\result_o[18]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT5 #( + .INIT(32'hFF00E2E2)) + \result_o[18]_INST_0_i_9 + (.I0(a_i[18]), + .I1(b_i[3]), + .I2(a_i[26]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[18]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[19]_INST_0 + (.I0(\result_o[19]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[19]_INST_0_i_2_n_0 ), + .I3(\result_o[19]_INST_0_i_3_n_0 ), + .I4(\result_o[19]_INST_0_i_4_n_0 ), + .I5(\result_o[19]_INST_0_i_5_n_0 ), + .O(result_o[19])); + (* SOFT_HLUTNM = "soft_lutpair43" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[19]_INST_0_i_1 + (.I0(\result_o[20]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[19]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[19]_INST_0_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[19]_INST_0_i_10 + (.CI(\result_o[15]_INST_0_i_11_n_0 ), + .CO({\result_o[19]_INST_0_i_10_n_0 ,\result_o[19]_INST_0_i_10_n_1 ,\result_o[19]_INST_0_i_10_n_2 ,\result_o[19]_INST_0_i_10_n_3 }), + .CYINIT(\ ), + .DI(a_i[19:16]), + .O(data1[19:16]), + .S({\result_o[19]_INST_0_i_19_n_0 ,\result_o[19]_INST_0_i_20_n_0 ,\result_o[19]_INST_0_i_21_n_0 ,\result_o[19]_INST_0_i_22_n_0 })); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT5 #( + .INIT(32'hFF00E2E2)) + \result_o[19]_INST_0_i_11 + (.I0(a_i[19]), + .I1(b_i[3]), + .I2(a_i[27]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[19]_INST_0_i_11_n_0 )); + LUT5 #( + .INIT(32'h10000000)) + \result_o[19]_INST_0_i_12 + (.I0(b_i[3]), + .I1(b_i[4]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[25]), + .O(\result_o[19]_INST_0_i_12_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair14" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[19]_INST_0_i_13 + (.I0(a_i[27]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[19]), + .O(\result_o[19]_INST_0_i_13_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[19]_INST_0_i_14 + (.I0(a_i[4]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[12]), + .O(\result_o[19]_INST_0_i_14_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[19]_INST_0_i_15 + (.I0(a_i[19]), + .I1(b_i[19]), + .O(\result_o[19]_INST_0_i_15_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[19]_INST_0_i_16 + (.I0(a_i[18]), + .I1(b_i[18]), + .O(\result_o[19]_INST_0_i_16_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[19]_INST_0_i_17 + (.I0(a_i[17]), + .I1(b_i[17]), + .O(\result_o[19]_INST_0_i_17_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[19]_INST_0_i_18 + (.I0(a_i[16]), + .I1(b_i[16]), + .O(\result_o[19]_INST_0_i_18_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[19]_INST_0_i_19 + (.I0(b_i[19]), + .I1(a_i[19]), + .O(\result_o[19]_INST_0_i_19_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[19]_INST_0_i_2 + (.I0(\result_o[20]_INST_0_i_7_n_0 ), + .I1(\result_o[19]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[19]_INST_0_i_2_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[19]_INST_0_i_20 + (.I0(b_i[18]), + .I1(a_i[18]), + .O(\result_o[19]_INST_0_i_20_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[19]_INST_0_i_21 + (.I0(b_i[17]), + .I1(a_i[17]), + .O(\result_o[19]_INST_0_i_21_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[19]_INST_0_i_22 + (.I0(b_i[16]), + .I1(a_i[16]), + .O(\result_o[19]_INST_0_i_22_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[19]_INST_0_i_3 + (.I0(\result_o[19]_INST_0_i_8_n_0 ), + .I1(\result_o[20]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[19]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[19]_INST_0_i_4 + (.I0(data0[19]), + .I1(b_i[19]), + .I2(a_i[19]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[19]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[19]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[19]), + .O(\result_o[19]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[19]_INST_0_i_6 + (.I0(\result_o[23]_INST_0_i_10_n_0 ), + .I1(\result_o[25]_INST_0_i_9_n_0 ), + .I2(\result_o[19]_INST_0_i_11_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[21]_INST_0_i_9_n_0 ), + .O(\result_o[19]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hCCFFEEFCCCCCEEFC)) + \result_o[19]_INST_0_i_7 + (.I0(\result_o[23]_INST_0_i_12_n_0 ), + .I1(\result_o[19]_INST_0_i_12_n_0 ), + .I2(\result_o[19]_INST_0_i_13_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[21]_INST_0_i_11_n_0 ), + .O(\result_o[19]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[19]_INST_0_i_8 + (.I0(\result_o[21]_INST_0_i_12_n_0 ), + .I1(\result_o[19]_INST_0_i_14_n_0 ), + .I2(\result_o[25]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[23]_INST_0_i_13_n_0 ), + .O(\result_o[19]_INST_0_i_8_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[19]_INST_0_i_9 + (.CI(\result_o[15]_INST_0_i_9_n_0 ), + .CO({\result_o[19]_INST_0_i_9_n_0 ,\result_o[19]_INST_0_i_9_n_1 ,\result_o[19]_INST_0_i_9_n_2 ,\result_o[19]_INST_0_i_9_n_3 }), + .CYINIT(\ ), + .DI(a_i[19:16]), + .O(data0[19:16]), + .S({\result_o[19]_INST_0_i_15_n_0 ,\result_o[19]_INST_0_i_16_n_0 ,\result_o[19]_INST_0_i_17_n_0 ,\result_o[19]_INST_0_i_18_n_0 })); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[1]_INST_0 + (.I0(\result_o[1]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[1]_INST_0_i_2_n_0 ), + .I3(\result_o[1]_INST_0_i_3_n_0 ), + .I4(\result_o[1]_INST_0_i_4_n_0 ), + .I5(\result_o[1]_INST_0_i_5_n_0 ), + .O(result_o[1])); + LUT6 #( + .INIT(64'hFFFFFFE0E0E0E0E0)) + \result_o[1]_INST_0_i_1 + (.I0(\result_o[2]_INST_0_i_7_n_0 ), + .I1(\result_o[2]_INST_0_i_8_n_0 ), + .I2(\result_o[30]_INST_0_i_7_n_0 ), + .I3(\result_o[1]_INST_0_i_6_n_0 ), + .I4(\result_o[1]_INST_0_i_7_n_0 ), + .I5(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[1]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFF08080800)) + \result_o[1]_INST_0_i_2 + (.I0(alu_op_i[1]), + .I1(alu_op_i[2]), + .I2(alu_op_i[0]), + .I3(a_i[1]), + .I4(b_i[1]), + .I5(\result_o[1]_INST_0_i_8_n_0 ), + .O(\result_o[1]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'hC1C0454445440100)) + \result_o[1]_INST_0_i_3 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(data0[1]), + .I4(a_i[1]), + .I5(b_i[1]), + .O(\result_o[1]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFE0E0E0E0E0)) + \result_o[1]_INST_0_i_4 + (.I0(\result_o[2]_INST_0_i_12_n_0 ), + .I1(\result_o[2]_INST_0_i_8_n_0 ), + .I2(\result_o[30]_INST_0_i_11_n_0 ), + .I3(\result_o[1]_INST_0_i_6_n_0 ), + .I4(\result_o[1]_INST_0_i_7_n_0 ), + .I5(\result_o[30]_INST_0_i_12_n_0 ), + .O(\result_o[1]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[1]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[1]), + .O(\result_o[1]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hEEEEEEEEEEEEEAAA)) + \result_o[1]_INST_0_i_6 + (.I0(\result_o[1]_INST_0_i_9_n_0 ), + .I1(b_i[1]), + .I2(\result_o[7]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(\result_o[3]_INST_0_i_13_n_0 ), + .I5(\result_o[3]_INST_0_i_14_n_0 ), + .O(\result_o[1]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hFFFFF888F888F888)) + \result_o[1]_INST_0_i_7 + (.I0(\result_o[0]_INST_0_i_8_n_0 ), + .I1(a_i[1]), + .I2(\result_o[31]_INST_0_i_23_n_0 ), + .I3(a_i[9]), + .I4(\result_o[5]_INST_0_i_10_n_0 ), + .I5(\result_o[31]_INST_0_i_25_n_0 ), + .O(\result_o[1]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h000000F800000088)) + \result_o[1]_INST_0_i_8 + (.I0(\result_o[30]_INST_0_i_15_n_0 ), + .I1(a_i[0]), + .I2(\result_o[31]_INST_0_i_11_n_0 ), + .I3(\result_o[30]_INST_0_i_9_n_0 ), + .I4(\result_o[30]_INST_0_i_8_n_0 ), + .I5(a_i[1]), + .O(\result_o[1]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[1]_INST_0_i_9 + (.I0(a_i[25]), + .I1(a_i[17]), + .I2(b_i[3]), + .I3(b_i[4]), + .I4(b_i[2]), + .I5(b_i[1]), + .O(\result_o[1]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[20]_INST_0 + (.I0(\result_o[20]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[20]_INST_0_i_2_n_0 ), + .I3(\result_o[20]_INST_0_i_3_n_0 ), + .I4(\result_o[20]_INST_0_i_4_n_0 ), + .I5(\result_o[20]_INST_0_i_5_n_0 ), + .O(result_o[20])); + (* SOFT_HLUTNM = "soft_lutpair44" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[20]_INST_0_i_1 + (.I0(\result_o[21]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[20]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[20]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[20]_INST_0_i_10 + (.I0(a_i[26]), + .I1(a_i[24]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[20]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair15" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[20]_INST_0_i_11 + (.I0(a_i[28]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[20]), + .O(\result_o[20]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[20]_INST_0_i_12 + (.I0(a_i[5]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[13]), + .O(\result_o[20]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[20]_INST_0_i_2 + (.I0(\result_o[21]_INST_0_i_7_n_0 ), + .I1(\result_o[20]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[20]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[20]_INST_0_i_3 + (.I0(\result_o[20]_INST_0_i_8_n_0 ), + .I1(\result_o[21]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[20]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[20]_INST_0_i_4 + (.I0(data0[20]), + .I1(b_i[20]), + .I2(a_i[20]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[20]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[20]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[20]), + .O(\result_o[20]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[20]_INST_0_i_6 + (.I0(\result_o[24]_INST_0_i_9_n_0 ), + .I1(\result_o[26]_INST_0_i_10_n_0 ), + .I2(\result_o[20]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[22]_INST_0_i_9_n_0 ), + .O(\result_o[20]_INST_0_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT5 #( + .INIT(32'hAFAEAAAE)) + \result_o[20]_INST_0_i_7 + (.I0(\result_o[20]_INST_0_i_10_n_0 ), + .I1(\result_o[20]_INST_0_i_11_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[22]_INST_0_i_11_n_0 ), + .O(\result_o[20]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[20]_INST_0_i_8 + (.I0(\result_o[22]_INST_0_i_12_n_0 ), + .I1(\result_o[20]_INST_0_i_12_n_0 ), + .I2(\result_o[26]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[24]_INST_0_i_11_n_0 ), + .O(\result_o[20]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT5 #( + .INIT(32'hFF00E2E2)) + \result_o[20]_INST_0_i_9 + (.I0(a_i[20]), + .I1(b_i[3]), + .I2(a_i[28]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[20]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[21]_INST_0 + (.I0(\result_o[21]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[21]_INST_0_i_2_n_0 ), + .I3(\result_o[21]_INST_0_i_3_n_0 ), + .I4(\result_o[21]_INST_0_i_4_n_0 ), + .I5(\result_o[21]_INST_0_i_5_n_0 ), + .O(result_o[21])); + (* SOFT_HLUTNM = "soft_lutpair44" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[21]_INST_0_i_1 + (.I0(\result_o[22]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[21]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[21]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[21]_INST_0_i_10 + (.I0(a_i[27]), + .I1(a_i[25]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[21]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair16" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[21]_INST_0_i_11 + (.I0(a_i[29]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[21]), + .O(\result_o[21]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[21]_INST_0_i_12 + (.I0(a_i[6]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[14]), + .O(\result_o[21]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[21]_INST_0_i_2 + (.I0(\result_o[22]_INST_0_i_7_n_0 ), + .I1(\result_o[21]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[21]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[21]_INST_0_i_3 + (.I0(\result_o[21]_INST_0_i_8_n_0 ), + .I1(\result_o[22]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[21]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[21]_INST_0_i_4 + (.I0(data0[21]), + .I1(b_i[21]), + .I2(a_i[21]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[21]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[21]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[21]), + .O(\result_o[21]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[21]_INST_0_i_6 + (.I0(\result_o[25]_INST_0_i_9_n_0 ), + .I1(\result_o[25]_INST_0_i_10_n_0 ), + .I2(\result_o[21]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[23]_INST_0_i_10_n_0 ), + .O(\result_o[21]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hAFAEAAAE)) + \result_o[21]_INST_0_i_7 + (.I0(\result_o[21]_INST_0_i_10_n_0 ), + .I1(\result_o[21]_INST_0_i_11_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[23]_INST_0_i_12_n_0 ), + .O(\result_o[21]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[21]_INST_0_i_8 + (.I0(\result_o[23]_INST_0_i_13_n_0 ), + .I1(\result_o[21]_INST_0_i_12_n_0 ), + .I2(\result_o[27]_INST_0_i_17_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[25]_INST_0_i_12_n_0 ), + .O(\result_o[21]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT5 #( + .INIT(32'hFF00E2E2)) + \result_o[21]_INST_0_i_9 + (.I0(a_i[21]), + .I1(b_i[3]), + .I2(a_i[29]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[21]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[22]_INST_0 + (.I0(\result_o[22]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[22]_INST_0_i_2_n_0 ), + .I3(\result_o[22]_INST_0_i_3_n_0 ), + .I4(\result_o[22]_INST_0_i_4_n_0 ), + .I5(\result_o[22]_INST_0_i_5_n_0 ), + .O(result_o[22])); + (* SOFT_HLUTNM = "soft_lutpair45" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[22]_INST_0_i_1 + (.I0(\result_o[23]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[22]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[22]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[22]_INST_0_i_10 + (.I0(a_i[28]), + .I1(a_i[26]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[22]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT4 #( + .INIT(16'h00CA)) + \result_o[22]_INST_0_i_11 + (.I0(a_i[22]), + .I1(a_i[30]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[22]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair33" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[22]_INST_0_i_12 + (.I0(a_i[7]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[15]), + .O(\result_o[22]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[22]_INST_0_i_2 + (.I0(\result_o[23]_INST_0_i_7_n_0 ), + .I1(\result_o[22]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[22]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[22]_INST_0_i_3 + (.I0(\result_o[22]_INST_0_i_8_n_0 ), + .I1(\result_o[23]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[22]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[22]_INST_0_i_4 + (.I0(data0[22]), + .I1(b_i[22]), + .I2(a_i[22]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[22]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[22]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[22]), + .O(\result_o[22]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[22]_INST_0_i_6 + (.I0(\result_o[26]_INST_0_i_10_n_0 ), + .I1(\result_o[26]_INST_0_i_11_n_0 ), + .I2(\result_o[22]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[24]_INST_0_i_9_n_0 ), + .O(\result_o[22]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hAAAEAFAEAAAEAAAE)) + \result_o[22]_INST_0_i_7 + (.I0(\result_o[22]_INST_0_i_10_n_0 ), + .I1(\result_o[22]_INST_0_i_11_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[30]_INST_0_i_9_n_0 ), + .I5(a_i[24]), + .O(\result_o[22]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[22]_INST_0_i_8 + (.I0(\result_o[24]_INST_0_i_11_n_0 ), + .I1(\result_o[22]_INST_0_i_12_n_0 ), + .I2(\result_o[28]_INST_0_i_15_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[26]_INST_0_i_12_n_0 ), + .O(\result_o[22]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT5 #( + .INIT(32'hFF00D8D8)) + \result_o[22]_INST_0_i_9 + (.I0(b_i[3]), + .I1(a_i[30]), + .I2(a_i[22]), + .I3(a_i[31]), + .I4(b_i[4]), + .O(\result_o[22]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[23]_INST_0 + (.I0(\result_o[23]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[23]_INST_0_i_2_n_0 ), + .I3(\result_o[23]_INST_0_i_3_n_0 ), + .I4(\result_o[23]_INST_0_i_4_n_0 ), + .I5(\result_o[23]_INST_0_i_5_n_0 ), + .O(result_o[23])); + (* SOFT_HLUTNM = "soft_lutpair45" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[23]_INST_0_i_1 + (.I0(\result_o[24]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[23]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[23]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[23]_INST_0_i_10 + (.I0(a_i[23]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[23]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[23]_INST_0_i_11 + (.I0(a_i[29]), + .I1(a_i[27]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[23]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair48" *) + LUT4 #( + .INIT(16'h0B08)) + \result_o[23]_INST_0_i_12 + (.I0(a_i[31]), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[23]), + .O(\result_o[23]_INST_0_i_12_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[23]_INST_0_i_13 + (.I0(a_i[16]), + .I1(a_i[8]), + .I2(a_i[0]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[23]_INST_0_i_13_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[23]_INST_0_i_14 + (.I0(a_i[23]), + .I1(b_i[23]), + .O(\result_o[23]_INST_0_i_14_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[23]_INST_0_i_15 + (.I0(a_i[22]), + .I1(b_i[22]), + .O(\result_o[23]_INST_0_i_15_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[23]_INST_0_i_16 + (.I0(a_i[21]), + .I1(b_i[21]), + .O(\result_o[23]_INST_0_i_16_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[23]_INST_0_i_17 + (.I0(a_i[20]), + .I1(b_i[20]), + .O(\result_o[23]_INST_0_i_17_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[23]_INST_0_i_2 + (.I0(\result_o[24]_INST_0_i_7_n_0 ), + .I1(\result_o[23]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[23]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[23]_INST_0_i_3 + (.I0(\result_o[23]_INST_0_i_8_n_0 ), + .I1(\result_o[24]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[23]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[23]_INST_0_i_4 + (.I0(data0[23]), + .I1(b_i[23]), + .I2(a_i[23]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[23]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[23]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[23]), + .O(\result_o[23]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[23]_INST_0_i_6 + (.I0(\result_o[25]_INST_0_i_10_n_0 ), + .I1(\result_o[29]_INST_0_i_7_n_0 ), + .I2(\result_o[23]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[25]_INST_0_i_9_n_0 ), + .O(\result_o[23]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hAAAEAFAEAAAEAAAE)) + \result_o[23]_INST_0_i_7 + (.I0(\result_o[23]_INST_0_i_11_n_0 ), + .I1(\result_o[23]_INST_0_i_12_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[30]_INST_0_i_9_n_0 ), + .I5(a_i[25]), + .O(\result_o[23]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[23]_INST_0_i_8 + (.I0(\result_o[25]_INST_0_i_12_n_0 ), + .I1(\result_o[23]_INST_0_i_13_n_0 ), + .I2(\result_o[27]_INST_0_i_16_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[27]_INST_0_i_17_n_0 ), + .O(\result_o[23]_INST_0_i_8_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[23]_INST_0_i_9 + (.CI(\result_o[19]_INST_0_i_9_n_0 ), + .CO({\result_o[23]_INST_0_i_9_n_0 ,\result_o[23]_INST_0_i_9_n_1 ,\result_o[23]_INST_0_i_9_n_2 ,\result_o[23]_INST_0_i_9_n_3 }), + .CYINIT(\ ), + .DI(a_i[23:20]), + .O(data0[23:20]), + .S({\result_o[23]_INST_0_i_14_n_0 ,\result_o[23]_INST_0_i_15_n_0 ,\result_o[23]_INST_0_i_16_n_0 ,\result_o[23]_INST_0_i_17_n_0 })); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[24]_INST_0 + (.I0(\result_o[24]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[24]_INST_0_i_2_n_0 ), + .I3(\result_o[24]_INST_0_i_3_n_0 ), + .I4(\result_o[24]_INST_0_i_4_n_0 ), + .I5(\result_o[24]_INST_0_i_5_n_0 ), + .O(result_o[24])); + (* SOFT_HLUTNM = "soft_lutpair46" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[24]_INST_0_i_1 + (.I0(\result_o[25]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[24]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[24]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[24]_INST_0_i_10 + (.I0(a_i[26]), + .I1(a_i[24]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[24]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[24]_INST_0_i_11 + (.I0(a_i[17]), + .I1(a_i[9]), + .I2(a_i[1]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[24]_INST_0_i_11_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[24]_INST_0_i_2 + (.I0(\result_o[25]_INST_0_i_7_n_0 ), + .I1(\result_o[24]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[24]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[24]_INST_0_i_3 + (.I0(\result_o[24]_INST_0_i_8_n_0 ), + .I1(\result_o[25]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[24]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[24]_INST_0_i_4 + (.I0(data0[24]), + .I1(b_i[24]), + .I2(a_i[24]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[24]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[24]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[24]), + .O(\result_o[24]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[24]_INST_0_i_6 + (.I0(\result_o[26]_INST_0_i_11_n_0 ), + .I1(\result_o[29]_INST_0_i_6_n_0 ), + .I2(\result_o[24]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[26]_INST_0_i_10_n_0 ), + .O(\result_o[24]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFF44400400)) + \result_o[24]_INST_0_i_7 + (.I0(\result_o[30]_INST_0_i_9_n_0 ), + .I1(b_i[2]), + .I2(b_i[1]), + .I3(a_i[28]), + .I4(a_i[30]), + .I5(\result_o[24]_INST_0_i_10_n_0 ), + .O(\result_o[24]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[24]_INST_0_i_8 + (.I0(\result_o[26]_INST_0_i_12_n_0 ), + .I1(\result_o[24]_INST_0_i_11_n_0 ), + .I2(\result_o[28]_INST_0_i_14_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[28]_INST_0_i_15_n_0 ), + .O(\result_o[24]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[24]_INST_0_i_9 + (.I0(a_i[24]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[24]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[25]_INST_0 + (.I0(\result_o[25]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[25]_INST_0_i_2_n_0 ), + .I3(\result_o[25]_INST_0_i_3_n_0 ), + .I4(\result_o[25]_INST_0_i_4_n_0 ), + .I5(\result_o[25]_INST_0_i_5_n_0 ), + .O(result_o[25])); + (* SOFT_HLUTNM = "soft_lutpair46" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[25]_INST_0_i_1 + (.I0(\result_o[26]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[25]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[25]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[25]_INST_0_i_10 + (.I0(a_i[27]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[25]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[25]_INST_0_i_11 + (.I0(a_i[27]), + .I1(a_i[25]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[25]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[25]_INST_0_i_12 + (.I0(a_i[18]), + .I1(a_i[10]), + .I2(a_i[2]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[25]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[25]_INST_0_i_2 + (.I0(\result_o[26]_INST_0_i_8_n_0 ), + .I1(\result_o[25]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[25]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[25]_INST_0_i_3 + (.I0(\result_o[25]_INST_0_i_8_n_0 ), + .I1(\result_o[26]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[25]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[25]_INST_0_i_4 + (.I0(data0[25]), + .I1(b_i[25]), + .I2(a_i[25]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[25]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[25]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[25]), + .O(\result_o[25]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[25]_INST_0_i_6 + (.I0(\result_o[29]_INST_0_i_7_n_0 ), + .I1(a_i[31]), + .I2(\result_o[25]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[25]_INST_0_i_10_n_0 ), + .O(\result_o[25]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFF44400400)) + \result_o[25]_INST_0_i_7 + (.I0(\result_o[30]_INST_0_i_9_n_0 ), + .I1(b_i[2]), + .I2(b_i[1]), + .I3(a_i[29]), + .I4(a_i[31]), + .I5(\result_o[25]_INST_0_i_11_n_0 ), + .O(\result_o[25]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[25]_INST_0_i_8 + (.I0(\result_o[27]_INST_0_i_17_n_0 ), + .I1(\result_o[25]_INST_0_i_12_n_0 ), + .I2(\result_o[31]_INST_0_i_20_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[27]_INST_0_i_16_n_0 ), + .O(\result_o[25]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[25]_INST_0_i_9 + (.I0(a_i[25]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[25]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[26]_INST_0 + (.I0(\result_o[26]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[26]_INST_0_i_2_n_0 ), + .I3(\result_o[26]_INST_0_i_3_n_0 ), + .I4(\result_o[26]_INST_0_i_4_n_0 ), + .I5(\result_o[26]_INST_0_i_5_n_0 ), + .O(result_o[26])); + (* SOFT_HLUTNM = "soft_lutpair47" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[26]_INST_0_i_1 + (.I0(\result_o[27]_INST_0_i_5_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[26]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[26]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[26]_INST_0_i_10 + (.I0(a_i[26]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[26]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[26]_INST_0_i_11 + (.I0(a_i[28]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[26]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[26]_INST_0_i_12 + (.I0(a_i[19]), + .I1(a_i[11]), + .I2(a_i[3]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[26]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[26]_INST_0_i_2 + (.I0(\result_o[26]_INST_0_i_7_n_0 ), + .I1(\result_o[26]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[26]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[26]_INST_0_i_3 + (.I0(\result_o[26]_INST_0_i_9_n_0 ), + .I1(\result_o[27]_INST_0_i_7_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[26]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[26]_INST_0_i_4 + (.I0(data0[26]), + .I1(b_i[26]), + .I2(a_i[26]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[26]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[26]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[26]), + .O(\result_o[26]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[26]_INST_0_i_6 + (.I0(\result_o[29]_INST_0_i_6_n_0 ), + .I1(a_i[31]), + .I2(\result_o[26]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[26]_INST_0_i_11_n_0 ), + .O(\result_o[26]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'h0000000000F0CCAA)) + \result_o[26]_INST_0_i_7 + (.I0(a_i[27]), + .I1(a_i[29]), + .I2(a_i[31]), + .I3(b_i[1]), + .I4(b_i[2]), + .I5(\result_o[30]_INST_0_i_9_n_0 ), + .O(\result_o[26]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h0000000000F0CCAA)) + \result_o[26]_INST_0_i_8 + (.I0(a_i[26]), + .I1(a_i[28]), + .I2(a_i[30]), + .I3(b_i[1]), + .I4(b_i[2]), + .I5(\result_o[30]_INST_0_i_9_n_0 ), + .O(\result_o[26]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[26]_INST_0_i_9 + (.I0(\result_o[28]_INST_0_i_15_n_0 ), + .I1(\result_o[26]_INST_0_i_12_n_0 ), + .I2(\result_o[31]_INST_0_i_26_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[28]_INST_0_i_14_n_0 ), + .O(\result_o[26]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFEEEAEEEAEEEA)) + \result_o[27]_INST_0 + (.I0(\result_o[27]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[27]_INST_0_i_2_n_0 ), + .I3(\result_o[27]_INST_0_i_3_n_0 ), + .I4(\result_o[28]_INST_0_i_4_n_0 ), + .I5(data1[27]), + .O(result_o[27])); + (* SOFT_HLUTNM = "soft_lutpair47" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[27]_INST_0_i_1 + (.I0(\result_o[28]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[27]_INST_0_i_5_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[27]_INST_0_i_1_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_10 + (.I0(b_i[27]), + .I1(a_i[27]), + .O(\result_o[27]_INST_0_i_10_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_11 + (.I0(b_i[26]), + .I1(a_i[26]), + .O(\result_o[27]_INST_0_i_11_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_12 + (.I0(b_i[25]), + .I1(a_i[25]), + .O(\result_o[27]_INST_0_i_12_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_13 + (.I0(b_i[24]), + .I1(a_i[24]), + .O(\result_o[27]_INST_0_i_13_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[27]_INST_0_i_14 + (.I0(a_i[29]), + .I1(a_i[27]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[27]_INST_0_i_14_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair7" *) + LUT4 #( + .INIT(16'h0004)) + \result_o[27]_INST_0_i_15 + (.I0(b_i[1]), + .I1(b_i[2]), + .I2(b_i[4]), + .I3(b_i[3]), + .O(\result_o[27]_INST_0_i_15_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair32" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[27]_INST_0_i_16 + (.I0(a_i[22]), + .I1(a_i[14]), + .I2(a_i[6]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[27]_INST_0_i_16_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair28" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[27]_INST_0_i_17 + (.I0(a_i[20]), + .I1(a_i[12]), + .I2(a_i[4]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[27]_INST_0_i_17_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[27]_INST_0_i_18 + (.I0(a_i[27]), + .I1(b_i[27]), + .O(\result_o[27]_INST_0_i_18_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[27]_INST_0_i_19 + (.I0(a_i[26]), + .I1(b_i[26]), + .O(\result_o[27]_INST_0_i_19_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[27]_INST_0_i_2 + (.I0(\result_o[27]_INST_0_i_6_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[28]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[27]_INST_0_i_7_n_0 ), + .O(\result_o[27]_INST_0_i_2_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[27]_INST_0_i_20 + (.I0(a_i[25]), + .I1(b_i[25]), + .O(\result_o[27]_INST_0_i_20_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[27]_INST_0_i_21 + (.I0(a_i[24]), + .I1(b_i[24]), + .O(\result_o[27]_INST_0_i_21_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_22 + (.I0(b_i[23]), + .I1(a_i[23]), + .O(\result_o[27]_INST_0_i_22_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_23 + (.I0(b_i[22]), + .I1(a_i[22]), + .O(\result_o[27]_INST_0_i_23_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_24 + (.I0(b_i[21]), + .I1(a_i[21]), + .O(\result_o[27]_INST_0_i_24_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[27]_INST_0_i_25 + (.I0(b_i[20]), + .I1(a_i[20]), + .O(\result_o[27]_INST_0_i_25_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[27]_INST_0_i_3 + (.I0(data0[27]), + .I1(b_i[27]), + .I2(a_i[27]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[27]_INST_0_i_3_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[27]_INST_0_i_4 + (.CI(\result_o[27]_INST_0_i_9_n_0 ), + .CO({\result_o[27]_INST_0_i_4_n_0 ,\result_o[27]_INST_0_i_4_n_1 ,\result_o[27]_INST_0_i_4_n_2 ,\result_o[27]_INST_0_i_4_n_3 }), + .CYINIT(\ ), + .DI(a_i[27:24]), + .O(data1[27:24]), + .S({\result_o[27]_INST_0_i_10_n_0 ,\result_o[27]_INST_0_i_11_n_0 ,\result_o[27]_INST_0_i_12_n_0 ,\result_o[27]_INST_0_i_13_n_0 })); + LUT6 #( + .INIT(64'hFFFF0000FEAE5404)) + \result_o[27]_INST_0_i_5 + (.I0(\result_o[30]_INST_0_i_9_n_0 ), + .I1(a_i[27]), + .I2(b_i[1]), + .I3(a_i[29]), + .I4(a_i[31]), + .I5(b_i[2]), + .O(\result_o[27]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hFFF8F8F888888888)) + \result_o[27]_INST_0_i_6 + (.I0(\result_o[28]_INST_0_i_13_n_0 ), + .I1(\result_o[30]_INST_0_i_11_n_0 ), + .I2(\result_o[27]_INST_0_i_14_n_0 ), + .I3(a_i[31]), + .I4(\result_o[27]_INST_0_i_15_n_0 ), + .I5(\result_o[30]_INST_0_i_12_n_0 ), + .O(\result_o[27]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[27]_INST_0_i_7 + (.I0(\result_o[27]_INST_0_i_16_n_0 ), + .I1(\result_o[27]_INST_0_i_17_n_0 ), + .I2(\result_o[31]_INST_0_i_9_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[31]_INST_0_i_20_n_0 ), + .O(\result_o[27]_INST_0_i_7_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[27]_INST_0_i_8 + (.CI(\result_o[23]_INST_0_i_9_n_0 ), + .CO({\result_o[27]_INST_0_i_8_n_0 ,\result_o[27]_INST_0_i_8_n_1 ,\result_o[27]_INST_0_i_8_n_2 ,\result_o[27]_INST_0_i_8_n_3 }), + .CYINIT(\ ), + .DI(a_i[27:24]), + .O(data0[27:24]), + .S({\result_o[27]_INST_0_i_18_n_0 ,\result_o[27]_INST_0_i_19_n_0 ,\result_o[27]_INST_0_i_20_n_0 ,\result_o[27]_INST_0_i_21_n_0 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[27]_INST_0_i_9 + (.CI(\result_o[19]_INST_0_i_10_n_0 ), + .CO({\result_o[27]_INST_0_i_9_n_0 ,\result_o[27]_INST_0_i_9_n_1 ,\result_o[27]_INST_0_i_9_n_2 ,\result_o[27]_INST_0_i_9_n_3 }), + .CYINIT(\ ), + .DI(a_i[23:20]), + .O(data1[23:20]), + .S({\result_o[27]_INST_0_i_22_n_0 ,\result_o[27]_INST_0_i_23_n_0 ,\result_o[27]_INST_0_i_24_n_0 ,\result_o[27]_INST_0_i_25_n_0 })); + LUT6 #( + .INIT(64'hFFFFEEEAEEEAEEEA)) + \result_o[28]_INST_0 + (.I0(\result_o[28]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[28]_INST_0_i_2_n_0 ), + .I3(\result_o[28]_INST_0_i_3_n_0 ), + .I4(\result_o[28]_INST_0_i_4_n_0 ), + .I5(data1[28]), + .O(result_o[28])); + LUT6 #( + .INIT(64'hFFFFB800B800B800)) + \result_o[28]_INST_0_i_1 + (.I0(a_i[31]), + .I1(\result_o[30]_INST_0_i_8_n_0 ), + .I2(\result_o[29]_INST_0_i_7_n_0 ), + .I3(\result_o[30]_INST_0_i_7_n_0 ), + .I4(\result_o[28]_INST_0_i_6_n_0 ), + .I5(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[28]_INST_0_i_1_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[28]_INST_0_i_10 + (.I0(b_i[30]), + .I1(a_i[30]), + .O(\result_o[28]_INST_0_i_10_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[28]_INST_0_i_11 + (.I0(b_i[29]), + .I1(a_i[29]), + .O(\result_o[28]_INST_0_i_11_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[28]_INST_0_i_12 + (.I0(b_i[28]), + .I1(a_i[28]), + .O(\result_o[28]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[28]_INST_0_i_13 + (.I0(a_i[30]), + .I1(a_i[28]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[28]_INST_0_i_13_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair33" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[28]_INST_0_i_14 + (.I0(a_i[23]), + .I1(a_i[15]), + .I2(a_i[7]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[28]_INST_0_i_14_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair30" *) + LUT5 #( + .INIT(32'h00CCF0AA)) + \result_o[28]_INST_0_i_15 + (.I0(a_i[21]), + .I1(a_i[13]), + .I2(a_i[5]), + .I3(b_i[4]), + .I4(b_i[3]), + .O(\result_o[28]_INST_0_i_15_n_0 )); + LUT6 #( + .INIT(64'hFFFFEEEAEEEAEEEA)) + \result_o[28]_INST_0_i_2 + (.I0(\result_o[28]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[29]_INST_0_i_10_n_0 ), + .I3(\result_o[29]_INST_0_i_9_n_0 ), + .I4(\result_o[30]_INST_0_i_15_n_0 ), + .I5(\result_o[28]_INST_0_i_8_n_0 ), + .O(\result_o[28]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[28]_INST_0_i_3 + (.I0(data0[28]), + .I1(b_i[28]), + .I2(a_i[28]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[28]_INST_0_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair0" *) + LUT5 #( + .INIT(32'h00010000)) + \result_o[28]_INST_0_i_4 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(alu_op_i[4]), + .I4(alu_op_i[3]), + .O(\result_o[28]_INST_0_i_4_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[28]_INST_0_i_5 + (.CI(\result_o[27]_INST_0_i_4_n_0 ), + .CO({\result_o[28]_INST_0_i_5_n_1 ,\result_o[28]_INST_0_i_5_n_2 ,\result_o[28]_INST_0_i_5_n_3 }), + .CYINIT(\ ), + .DI({\ ,a_i[30:28]}), + .O(data1[31:28]), + .S({\result_o[28]_INST_0_i_9_n_0 ,\result_o[28]_INST_0_i_10_n_0 ,\result_o[28]_INST_0_i_11_n_0 ,\result_o[28]_INST_0_i_12_n_0 })); + LUT6 #( + .INIT(64'hFFFF0000FEAE5404)) + \result_o[28]_INST_0_i_6 + (.I0(\result_o[30]_INST_0_i_9_n_0 ), + .I1(a_i[28]), + .I2(b_i[1]), + .I3(a_i[30]), + .I4(a_i[31]), + .I5(b_i[2]), + .O(\result_o[28]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[28]_INST_0_i_7 + (.I0(\result_o[29]_INST_0_i_8_n_0 ), + .I1(\result_o[28]_INST_0_i_13_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[28]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[28]_INST_0_i_8 + (.I0(\result_o[28]_INST_0_i_14_n_0 ), + .I1(\result_o[28]_INST_0_i_15_n_0 ), + .I2(\result_o[31]_INST_0_i_24_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[31]_INST_0_i_26_n_0 ), + .O(\result_o[28]_INST_0_i_8_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[28]_INST_0_i_9 + (.I0(b_i[31]), + .I1(a_i[31]), + .O(\result_o[28]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[29]_INST_0 + (.I0(\result_o[29]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[29]_INST_0_i_2_n_0 ), + .I3(\result_o[29]_INST_0_i_3_n_0 ), + .I4(\result_o[29]_INST_0_i_4_n_0 ), + .I5(\result_o[29]_INST_0_i_5_n_0 ), + .O(result_o[29])); + LUT6 #( + .INIT(64'hF0FFF088C088C088)) + \result_o[29]_INST_0_i_1 + (.I0(\result_o[29]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(a_i[31]), + .I3(\result_o[30]_INST_0_i_8_n_0 ), + .I4(\result_o[29]_INST_0_i_7_n_0 ), + .I5(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[29]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h2A0A220228082000)) + \result_o[29]_INST_0_i_10 + (.I0(\result_o[3]_INST_0_i_7_n_0 ), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[6]), + .I4(a_i[14]), + .I5(a_i[22]), + .O(\result_o[29]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'hFFFF020002000200)) + \result_o[29]_INST_0_i_2 + (.I0(\result_o[30]_INST_0_i_11_n_0 ), + .I1(\result_o[30]_INST_0_i_9_n_0 ), + .I2(\result_o[30]_INST_0_i_8_n_0 ), + .I3(a_i[30]), + .I4(\result_o[29]_INST_0_i_8_n_0 ), + .I5(\result_o[30]_INST_0_i_12_n_0 ), + .O(\result_o[29]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFE0E0E0E0E0)) + \result_o[29]_INST_0_i_3 + (.I0(\result_o[29]_INST_0_i_9_n_0 ), + .I1(\result_o[29]_INST_0_i_10_n_0 ), + .I2(\result_o[30]_INST_0_i_15_n_0 ), + .I3(\result_o[30]_INST_0_i_13_n_0 ), + .I4(\result_o[30]_INST_0_i_14_n_0 ), + .I5(\result_o[31]_INST_0_i_11_n_0 ), + .O(\result_o[29]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[29]_INST_0_i_4 + (.I0(data0[29]), + .I1(b_i[29]), + .I2(a_i[29]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[29]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[29]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[29]), + .O(\result_o[29]_INST_0_i_5_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair31" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[29]_INST_0_i_6 + (.I0(a_i[30]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[29]_INST_0_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair29" *) + LUT4 #( + .INIT(16'hCCCA)) + \result_o[29]_INST_0_i_7 + (.I0(a_i[29]), + .I1(a_i[31]), + .I2(b_i[3]), + .I3(b_i[4]), + .O(\result_o[29]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[29]_INST_0_i_8 + (.I0(a_i[31]), + .I1(a_i[29]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[29]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'h33BB33BB33BB3088)) + \result_o[29]_INST_0_i_9 + (.I0(\result_o[31]_INST_0_i_9_n_0 ), + .I1(b_i[1]), + .I2(\result_o[31]_INST_0_i_20_n_0 ), + .I3(b_i[2]), + .I4(\result_o[31]_INST_0_i_21_n_0 ), + .I5(\result_o[31]_INST_0_i_22_n_0 ), + .O(\result_o[29]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[2]_INST_0 + (.I0(\result_o[2]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[2]_INST_0_i_2_n_0 ), + .I3(\result_o[2]_INST_0_i_3_n_0 ), + .I4(\result_o[2]_INST_0_i_4_n_0 ), + .I5(\result_o[2]_INST_0_i_5_n_0 ), + .O(result_o[2])); + LUT6 #( + .INIT(64'hFFFFFFE0E0E0E0E0)) + \result_o[2]_INST_0_i_1 + (.I0(\result_o[2]_INST_0_i_6_n_0 ), + .I1(\result_o[3]_INST_0_i_8_n_0 ), + .I2(\result_o[30]_INST_0_i_7_n_0 ), + .I3(\result_o[2]_INST_0_i_7_n_0 ), + .I4(\result_o[2]_INST_0_i_8_n_0 ), + .I5(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[2]_INST_0_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT5 #( + .INIT(32'h00010000)) + \result_o[2]_INST_0_i_10 + (.I0(b_i[3]), + .I1(b_i[4]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[1]), + .O(\result_o[2]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'h0088A0AA0088A000)) + \result_o[2]_INST_0_i_11 + (.I0(\result_o[3]_INST_0_i_7_n_0 ), + .I1(a_i[25]), + .I2(a_i[17]), + .I3(b_i[3]), + .I4(b_i[4]), + .I5(a_i[9]), + .O(\result_o[2]_INST_0_i_11_n_0 )); + LUT6 #( + .INIT(64'h0088A0AA0088A000)) + \result_o[2]_INST_0_i_12 + (.I0(\result_o[3]_INST_0_i_7_n_0 ), + .I1(a_i[24]), + .I2(a_i[16]), + .I3(b_i[3]), + .I4(b_i[4]), + .I5(a_i[8]), + .O(\result_o[2]_INST_0_i_12_n_0 )); + LUT5 #( + .INIT(32'h00000A0C)) + \result_o[2]_INST_0_i_13 + (.I0(a_i[10]), + .I1(a_i[2]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(b_i[2]), + .O(\result_o[2]_INST_0_i_13_n_0 )); + LUT5 #( + .INIT(32'h0000AC00)) + \result_o[2]_INST_0_i_14 + (.I0(a_i[26]), + .I1(a_i[18]), + .I2(b_i[3]), + .I3(b_i[4]), + .I4(b_i[2]), + .O(\result_o[2]_INST_0_i_14_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFA8FFA8FFA8)) + \result_o[2]_INST_0_i_2 + (.I0(\result_o[31]_INST_0_i_16_n_0 ), + .I1(a_i[2]), + .I2(b_i[2]), + .I3(\result_o[2]_INST_0_i_9_n_0 ), + .I4(\result_o[2]_INST_0_i_10_n_0 ), + .I5(\result_o[30]_INST_0_i_15_n_0 ), + .O(\result_o[2]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'hC1C0454445440100)) + \result_o[2]_INST_0_i_3 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(data0[2]), + .I4(a_i[2]), + .I5(b_i[2]), + .O(\result_o[2]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFE0E0E0E0E0)) + \result_o[2]_INST_0_i_4 + (.I0(\result_o[2]_INST_0_i_11_n_0 ), + .I1(\result_o[3]_INST_0_i_8_n_0 ), + .I2(\result_o[30]_INST_0_i_11_n_0 ), + .I3(\result_o[2]_INST_0_i_12_n_0 ), + .I4(\result_o[2]_INST_0_i_8_n_0 ), + .I5(\result_o[30]_INST_0_i_12_n_0 ), + .O(\result_o[2]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[2]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[2]), + .O(\result_o[2]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'h8888888880000000)) + \result_o[2]_INST_0_i_6 + (.I0(b_i[2]), + .I1(b_i[1]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(a_i[31]), + .I5(\result_o[3]_INST_0_i_11_n_0 ), + .O(\result_o[2]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'h8888888880000000)) + \result_o[2]_INST_0_i_7 + (.I0(b_i[2]), + .I1(b_i[1]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(a_i[31]), + .I5(\result_o[8]_INST_0_i_12_n_0 ), + .O(\result_o[2]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h33BB33BB33BB3088)) + \result_o[2]_INST_0_i_8 + (.I0(\result_o[4]_INST_0_i_10_n_0 ), + .I1(b_i[1]), + .I2(\result_o[6]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(\result_o[2]_INST_0_i_13_n_0 ), + .I5(\result_o[2]_INST_0_i_14_n_0 ), + .O(\result_o[2]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'h0022002000020000)) + \result_o[2]_INST_0_i_9 + (.I0(\result_o[31]_INST_0_i_11_n_0 ), + .I1(\result_o[30]_INST_0_i_9_n_0 ), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(a_i[2]), + .I5(a_i[0]), + .O(\result_o[2]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[30]_INST_0 + (.I0(\result_o[30]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[30]_INST_0_i_3_n_0 ), + .I3(\result_o[30]_INST_0_i_4_n_0 ), + .I4(\result_o[30]_INST_0_i_5_n_0 ), + .I5(\result_o[30]_INST_0_i_6_n_0 ), + .O(result_o[30])); + LUT6 #( + .INIT(64'hFF00FE30AA00AA00)) + \result_o[30]_INST_0_i_1 + (.I0(\result_o[30]_INST_0_i_7_n_0 ), + .I1(\result_o[30]_INST_0_i_8_n_0 ), + .I2(a_i[30]), + .I3(a_i[31]), + .I4(\result_o[30]_INST_0_i_9_n_0 ), + .I5(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[30]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h0000000000004000)) + \result_o[30]_INST_0_i_10 + (.I0(alu_op_i[1]), + .I1(alu_op_i[0]), + .I2(alu_op_i[2]), + .I3(alu_op_i[3]), + .I4(alu_op_i[4]), + .I5(b_i[0]), + .O(\result_o[30]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair35" *) + LUT4 #( + .INIT(16'h0800)) + \result_o[30]_INST_0_i_11 + (.I0(alu_op_i[2]), + .I1(alu_op_i[0]), + .I2(alu_op_i[1]), + .I3(b_i[0]), + .O(\result_o[30]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair35" *) + LUT4 #( + .INIT(16'h0008)) + \result_o[30]_INST_0_i_12 + (.I0(alu_op_i[2]), + .I1(alu_op_i[0]), + .I2(alu_op_i[1]), + .I3(b_i[0]), + .O(\result_o[30]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h33BB33BB33BB3088)) + \result_o[30]_INST_0_i_13 + (.I0(\result_o[31]_INST_0_i_24_n_0 ), + .I1(b_i[1]), + .I2(\result_o[31]_INST_0_i_26_n_0 ), + .I3(b_i[2]), + .I4(\result_o[31]_INST_0_i_27_n_0 ), + .I5(\result_o[31]_INST_0_i_28_n_0 ), + .O(\result_o[30]_INST_0_i_13_n_0 )); + LUT6 #( + .INIT(64'h2A0A220228082000)) + \result_o[30]_INST_0_i_14 + (.I0(\result_o[3]_INST_0_i_7_n_0 ), + .I1(b_i[3]), + .I2(b_i[4]), + .I3(a_i[7]), + .I4(a_i[15]), + .I5(a_i[23]), + .O(\result_o[30]_INST_0_i_14_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair34" *) + LUT4 #( + .INIT(16'h0400)) + \result_o[30]_INST_0_i_15 + (.I0(alu_op_i[2]), + .I1(alu_op_i[0]), + .I2(alu_op_i[1]), + .I3(b_i[0]), + .O(\result_o[30]_INST_0_i_15_n_0 )); + LUT6 #( + .INIT(64'hEEEEEEEEEEEEEAAA)) + \result_o[30]_INST_0_i_16 + (.I0(\result_o[31]_INST_0_i_8_n_0 ), + .I1(b_i[1]), + .I2(\result_o[31]_INST_0_i_20_n_0 ), + .I3(b_i[2]), + .I4(\result_o[31]_INST_0_i_21_n_0 ), + .I5(\result_o[31]_INST_0_i_22_n_0 ), + .O(\result_o[30]_INST_0_i_16_n_0 )); + LUT6 #( + .INIT(64'hFFFFF888F888F888)) + \result_o[30]_INST_0_i_17 + (.I0(\result_o[0]_INST_0_i_8_n_0 ), + .I1(a_i[30]), + .I2(\result_o[31]_INST_0_i_23_n_0 ), + .I3(a_i[22]), + .I4(\result_o[31]_INST_0_i_9_n_0 ), + .I5(\result_o[31]_INST_0_i_25_n_0 ), + .O(\result_o[30]_INST_0_i_17_n_0 )); + LUT2 #( + .INIT(4'h1)) + \result_o[30]_INST_0_i_2 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .O(\result_o[30]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h000000F800000088)) + \result_o[30]_INST_0_i_3 + (.I0(\result_o[30]_INST_0_i_11_n_0 ), + .I1(a_i[31]), + .I2(\result_o[30]_INST_0_i_12_n_0 ), + .I3(\result_o[30]_INST_0_i_9_n_0 ), + .I4(\result_o[30]_INST_0_i_8_n_0 ), + .I5(a_i[30]), + .O(\result_o[30]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFE0E0E0E0E0)) + \result_o[30]_INST_0_i_4 + (.I0(\result_o[30]_INST_0_i_13_n_0 ), + .I1(\result_o[30]_INST_0_i_14_n_0 ), + .I2(\result_o[30]_INST_0_i_15_n_0 ), + .I3(\result_o[30]_INST_0_i_16_n_0 ), + .I4(\result_o[30]_INST_0_i_17_n_0 ), + .I5(\result_o[31]_INST_0_i_11_n_0 ), + .O(\result_o[30]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'hC0000000FC3C00AA)) + \result_o[30]_INST_0_i_5 + (.I0(data0[30]), + .I1(b_i[30]), + .I2(a_i[30]), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(alu_op_i[0]), + .O(\result_o[30]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[30]_INST_0_i_6 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[30]), + .O(\result_o[30]_INST_0_i_6_n_0 )); + LUT6 #( + .INIT(64'h0000400000000000)) + \result_o[30]_INST_0_i_7 + (.I0(alu_op_i[1]), + .I1(alu_op_i[0]), + .I2(alu_op_i[2]), + .I3(alu_op_i[3]), + .I4(alu_op_i[4]), + .I5(b_i[0]), + .O(\result_o[30]_INST_0_i_7_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair2" *) + LUT2 #( + .INIT(4'hE)) + \result_o[30]_INST_0_i_8 + (.I0(b_i[1]), + .I1(b_i[2]), + .O(\result_o[30]_INST_0_i_8_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair8" *) + LUT2 #( + .INIT(4'hE)) + \result_o[30]_INST_0_i_9 + (.I0(b_i[3]), + .I1(b_i[4]), + .O(\result_o[30]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFFF8)) + \result_o[31]_INST_0 + (.I0(\result_o[31]_INST_0_i_1_n_0 ), + .I1(\result_o[31]_INST_0_i_2_n_0 ), + .I2(\result_o[31]_INST_0_i_3_n_0 ), + .I3(\result_o[31]_INST_0_i_4_n_0 ), + .I4(\result_o[31]_INST_0_i_5_n_0 ), + .I5(\result_o[31]_INST_0_i_6_n_0 ), + .O(result_o[31])); + LUT6 #( + .INIT(64'hFFFFFFFFEFECECEC)) + \result_o[31]_INST_0_i_1 + (.I0(\result_o[31]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_8_n_0 ), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(\result_o[31]_INST_0_i_9_n_0 ), + .I5(\result_o[31]_INST_0_i_10_n_0 ), + .O(\result_o[31]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h0000000A0000000C)) + \result_o[31]_INST_0_i_10 + (.I0(a_i[22]), + .I1(a_i[30]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[31]_INST_0_i_10_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair34" *) + LUT4 #( + .INIT(16'h0004)) + \result_o[31]_INST_0_i_11 + (.I0(alu_op_i[2]), + .I1(alu_op_i[0]), + .I2(alu_op_i[1]), + .I3(b_i[0]), + .O(\result_o[31]_INST_0_i_11_n_0 )); + LUT6 #( + .INIT(64'hFFFFF888F888F888)) + \result_o[31]_INST_0_i_12 + (.I0(\result_o[0]_INST_0_i_8_n_0 ), + .I1(a_i[31]), + .I2(\result_o[31]_INST_0_i_23_n_0 ), + .I3(a_i[23]), + .I4(\result_o[31]_INST_0_i_24_n_0 ), + .I5(\result_o[31]_INST_0_i_25_n_0 ), + .O(\result_o[31]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[31]_INST_0_i_13 + (.I0(a_i[7]), + .I1(a_i[15]), + .I2(b_i[3]), + .I3(b_i[4]), + .I4(b_i[2]), + .I5(b_i[1]), + .O(\result_o[31]_INST_0_i_13_n_0 )); + LUT4 #( + .INIT(16'hFFF8)) + \result_o[31]_INST_0_i_14 + (.I0(\result_o[31]_INST_0_i_26_n_0 ), + .I1(b_i[2]), + .I2(\result_o[31]_INST_0_i_27_n_0 ), + .I3(\result_o[31]_INST_0_i_28_n_0 ), + .O(\result_o[31]_INST_0_i_14_n_0 )); + LUT6 #( + .INIT(64'h010000FF01FF0000)) + \result_o[31]_INST_0_i_15 + (.I0(\result_o[30]_INST_0_i_8_n_0 ), + .I1(\result_o[30]_INST_0_i_9_n_0 ), + .I2(b_i[0]), + .I3(alu_op_i[0]), + .I4(a_i[31]), + .I5(b_i[31]), + .O(\result_o[31]_INST_0_i_15_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT3 #( + .INIT(8'h40)) + \result_o[31]_INST_0_i_16 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .O(\result_o[31]_INST_0_i_16_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT3 #( + .INIT(8'h01)) + \result_o[31]_INST_0_i_17 + (.I0(alu_op_i[1]), + .I1(alu_op_i[2]), + .I2(alu_op_i[0]), + .O(\result_o[31]_INST_0_i_17_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[31]_INST_0_i_18 + (.CI(\result_o[27]_INST_0_i_8_n_0 ), + .CO({\result_o[31]_INST_0_i_18_n_1 ,\result_o[31]_INST_0_i_18_n_2 ,\result_o[31]_INST_0_i_18_n_3 }), + .CYINIT(\ ), + .DI({\ ,a_i[30:28]}), + .O(data0[31:28]), + .S({\result_o[31]_INST_0_i_29_n_0 ,\result_o[31]_INST_0_i_30_n_0 ,\result_o[31]_INST_0_i_31_n_0 ,\result_o[31]_INST_0_i_32_n_0 })); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT3 #( + .INIT(8'h40)) + \result_o[31]_INST_0_i_19 + (.I0(alu_op_i[1]), + .I1(alu_op_i[0]), + .I2(alu_op_i[2]), + .O(\result_o[31]_INST_0_i_19_n_0 )); + LUT6 #( + .INIT(64'h0000000000000020)) + \result_o[31]_INST_0_i_2 + (.I0(b_i[0]), + .I1(alu_op_i[1]), + .I2(alu_op_i[0]), + .I3(alu_op_i[2]), + .I4(alu_op_i[4]), + .I5(alu_op_i[3]), + .O(\result_o[31]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[31]_INST_0_i_20 + (.I0(a_i[8]), + .I1(a_i[0]), + .I2(a_i[24]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[16]), + .O(\result_o[31]_INST_0_i_20_n_0 )); + LUT5 #( + .INIT(32'h00000A0C)) + \result_o[31]_INST_0_i_21 + (.I0(a_i[20]), + .I1(a_i[28]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(b_i[2]), + .O(\result_o[31]_INST_0_i_21_n_0 )); + LUT5 #( + .INIT(32'h0000AC00)) + \result_o[31]_INST_0_i_22 + (.I0(a_i[4]), + .I1(a_i[12]), + .I2(b_i[3]), + .I3(b_i[4]), + .I4(b_i[2]), + .O(\result_o[31]_INST_0_i_22_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair5" *) + LUT4 #( + .INIT(16'h0004)) + \result_o[31]_INST_0_i_23 + (.I0(b_i[4]), + .I1(b_i[3]), + .I2(b_i[2]), + .I3(b_i[1]), + .O(\result_o[31]_INST_0_i_23_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[31]_INST_0_i_24 + (.I0(a_i[11]), + .I1(a_i[3]), + .I2(a_i[27]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[19]), + .O(\result_o[31]_INST_0_i_24_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair3" *) + LUT2 #( + .INIT(4'h2)) + \result_o[31]_INST_0_i_25 + (.I0(b_i[2]), + .I1(b_i[1]), + .O(\result_o[31]_INST_0_i_25_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[31]_INST_0_i_26 + (.I0(a_i[9]), + .I1(a_i[1]), + .I2(a_i[25]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[17]), + .O(\result_o[31]_INST_0_i_26_n_0 )); + LUT5 #( + .INIT(32'h00000A0C)) + \result_o[31]_INST_0_i_27 + (.I0(a_i[21]), + .I1(a_i[29]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(b_i[2]), + .O(\result_o[31]_INST_0_i_27_n_0 )); + LUT5 #( + .INIT(32'h0000AC00)) + \result_o[31]_INST_0_i_28 + (.I0(a_i[5]), + .I1(a_i[13]), + .I2(b_i[3]), + .I3(b_i[4]), + .I4(b_i[2]), + .O(\result_o[31]_INST_0_i_28_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[31]_INST_0_i_29 + (.I0(a_i[31]), + .I1(b_i[31]), + .O(\result_o[31]_INST_0_i_29_n_0 )); + LUT6 #( + .INIT(64'h8888888088808880)) + \result_o[31]_INST_0_i_3 + (.I0(\result_o[30]_INST_0_i_2_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[31]_INST_0_i_12_n_0 ), + .I3(\result_o[31]_INST_0_i_13_n_0 ), + .I4(b_i[1]), + .I5(\result_o[31]_INST_0_i_14_n_0 ), + .O(\result_o[31]_INST_0_i_3_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[31]_INST_0_i_30 + (.I0(a_i[30]), + .I1(b_i[30]), + .O(\result_o[31]_INST_0_i_30_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[31]_INST_0_i_31 + (.I0(a_i[29]), + .I1(b_i[29]), + .O(\result_o[31]_INST_0_i_31_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[31]_INST_0_i_32 + (.I0(a_i[28]), + .I1(b_i[28]), + .O(\result_o[31]_INST_0_i_32_n_0 )); + LUT6 #( + .INIT(64'h88F0000000000000)) + \result_o[31]_INST_0_i_4 + (.I0(b_i[31]), + .I1(a_i[31]), + .I2(\result_o[31]_INST_0_i_15_n_0 ), + .I3(alu_op_i[1]), + .I4(alu_op_i[2]), + .I5(\result_o[30]_INST_0_i_2_n_0 ), + .O(\result_o[31]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'hFFA80000A8A80000)) + \result_o[31]_INST_0_i_5 + (.I0(\result_o[31]_INST_0_i_16_n_0 ), + .I1(b_i[31]), + .I2(a_i[31]), + .I3(\result_o[31]_INST_0_i_17_n_0 ), + .I4(\result_o[30]_INST_0_i_2_n_0 ), + .I5(data0[31]), + .O(\result_o[31]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'h0000F88800000000)) + \result_o[31]_INST_0_i_6 + (.I0(a_i[31]), + .I1(\result_o[31]_INST_0_i_19_n_0 ), + .I2(data1[31]), + .I3(\result_o[31]_INST_0_i_17_n_0 ), + .I4(alu_op_i[4]), + .I5(alu_op_i[3]), + .O(\result_o[31]_INST_0_i_6_n_0 )); + LUT4 #( + .INIT(16'hFFF8)) + \result_o[31]_INST_0_i_7 + (.I0(\result_o[31]_INST_0_i_20_n_0 ), + .I1(b_i[2]), + .I2(\result_o[31]_INST_0_i_21_n_0 ), + .I3(\result_o[31]_INST_0_i_22_n_0 ), + .O(\result_o[31]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[31]_INST_0_i_8 + (.I0(a_i[6]), + .I1(a_i[14]), + .I2(b_i[3]), + .I3(b_i[4]), + .I4(b_i[2]), + .I5(b_i[1]), + .O(\result_o[31]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[31]_INST_0_i_9 + (.I0(a_i[10]), + .I1(a_i[2]), + .I2(a_i[26]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[18]), + .O(\result_o[31]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[3]_INST_0 + (.I0(\result_o[3]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[3]_INST_0_i_2_n_0 ), + .I3(\result_o[3]_INST_0_i_3_n_0 ), + .I4(\result_o[3]_INST_0_i_4_n_0 ), + .I5(\result_o[3]_INST_0_i_5_n_0 ), + .O(result_o[3])); + LUT6 #( + .INIT(64'hFFFFF88888888888)) + \result_o[3]_INST_0_i_1 + (.I0(\result_o[4]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[3]_INST_0_i_6_n_0 ), + .I3(\result_o[3]_INST_0_i_7_n_0 ), + .I4(\result_o[3]_INST_0_i_8_n_0 ), + .I5(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[3]_INST_0_i_1_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[3]_INST_0_i_10 + (.CI(\ ), + .CO({\result_o[3]_INST_0_i_10_n_0 ,\result_o[3]_INST_0_i_10_n_1 ,\result_o[3]_INST_0_i_10_n_2 ,\result_o[3]_INST_0_i_10_n_3 }), + .CYINIT(\ ), + .DI(a_i[3:0]), + .O(data0[3:0]), + .S({\result_o[3]_INST_0_i_16_n_0 ,\result_o[3]_INST_0_i_17_n_0 ,\result_o[3]_INST_0_i_18_n_0 ,\result_o[3]_INST_0_i_19_n_0 })); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT5 #( + .INIT(32'h3E0E3202)) + \result_o[3]_INST_0_i_11 + (.I0(a_i[9]), + .I1(b_i[4]), + .I2(b_i[3]), + .I3(a_i[17]), + .I4(a_i[25]), + .O(\result_o[3]_INST_0_i_11_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[3]_INST_0_i_12 + (.CI(\ ), + .CO({\result_o[3]_INST_0_i_12_n_0 ,\result_o[3]_INST_0_i_12_n_1 ,\result_o[3]_INST_0_i_12_n_2 ,\result_o[3]_INST_0_i_12_n_3 }), + .CYINIT(\ ), + .DI(a_i[3:0]), + .O(data1[3:0]), + .S({\result_o[3]_INST_0_i_20_n_0 ,\result_o[3]_INST_0_i_21_n_0 ,\result_o[3]_INST_0_i_22_n_0 ,\result_o[3]_INST_0_i_23_n_0 })); + LUT5 #( + .INIT(32'h00000A0C)) + \result_o[3]_INST_0_i_13 + (.I0(a_i[11]), + .I1(a_i[3]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(b_i[2]), + .O(\result_o[3]_INST_0_i_13_n_0 )); + LUT5 #( + .INIT(32'h0000AC00)) + \result_o[3]_INST_0_i_14 + (.I0(a_i[27]), + .I1(a_i[19]), + .I2(b_i[3]), + .I3(b_i[4]), + .I4(b_i[2]), + .O(\result_o[3]_INST_0_i_14_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[3]_INST_0_i_15 + (.I0(a_i[0]), + .I1(a_i[2]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[3]_INST_0_i_15_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[3]_INST_0_i_16 + (.I0(a_i[3]), + .I1(b_i[3]), + .O(\result_o[3]_INST_0_i_16_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[3]_INST_0_i_17 + (.I0(a_i[2]), + .I1(b_i[2]), + .O(\result_o[3]_INST_0_i_17_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[3]_INST_0_i_18 + (.I0(a_i[1]), + .I1(b_i[1]), + .O(\result_o[3]_INST_0_i_18_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[3]_INST_0_i_19 + (.I0(a_i[0]), + .I1(b_i[0]), + .O(\result_o[3]_INST_0_i_19_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFF8000C000)) + \result_o[3]_INST_0_i_2 + (.I0(b_i[3]), + .I1(a_i[3]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(\result_o[3]_INST_0_i_9_n_0 ), + .O(\result_o[3]_INST_0_i_2_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[3]_INST_0_i_20 + (.I0(b_i[3]), + .I1(a_i[3]), + .O(\result_o[3]_INST_0_i_20_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[3]_INST_0_i_21 + (.I0(b_i[2]), + .I1(a_i[2]), + .O(\result_o[3]_INST_0_i_21_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[3]_INST_0_i_22 + (.I0(b_i[1]), + .I1(a_i[1]), + .O(\result_o[3]_INST_0_i_22_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[3]_INST_0_i_23 + (.I0(b_i[0]), + .I1(a_i[0]), + .O(\result_o[3]_INST_0_i_23_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[3]_INST_0_i_3 + (.I0(data0[3]), + .I1(a_i[3]), + .I2(b_i[3]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[3]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'hFFFFF88888888888)) + \result_o[3]_INST_0_i_4 + (.I0(\result_o[4]_INST_0_i_9_n_0 ), + .I1(\result_o[30]_INST_0_i_11_n_0 ), + .I2(\result_o[3]_INST_0_i_11_n_0 ), + .I3(\result_o[3]_INST_0_i_7_n_0 ), + .I4(\result_o[3]_INST_0_i_8_n_0 ), + .I5(\result_o[30]_INST_0_i_12_n_0 ), + .O(\result_o[3]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[3]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[3]), + .O(\result_o[3]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hFF00AAAACCCCF0F0)) + \result_o[3]_INST_0_i_6 + (.I0(a_i[25]), + .I1(a_i[17]), + .I2(a_i[9]), + .I3(a_i[31]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[3]_INST_0_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair4" *) + LUT2 #( + .INIT(4'h8)) + \result_o[3]_INST_0_i_7 + (.I0(b_i[1]), + .I1(b_i[2]), + .O(\result_o[3]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h33BB33BB33BB3088)) + \result_o[3]_INST_0_i_8 + (.I0(\result_o[5]_INST_0_i_10_n_0 ), + .I1(b_i[1]), + .I2(\result_o[7]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(\result_o[3]_INST_0_i_13_n_0 ), + .I5(\result_o[3]_INST_0_i_14_n_0 ), + .O(\result_o[3]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'h00000A0000000C00)) + \result_o[3]_INST_0_i_9 + (.I0(\result_o[3]_INST_0_i_15_n_0 ), + .I1(\result_o[4]_INST_0_i_8_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[3]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[4]_INST_0 + (.I0(\result_o[4]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[4]_INST_0_i_2_n_0 ), + .I3(\result_o[4]_INST_0_i_3_n_0 ), + .I4(\result_o[4]_INST_0_i_4_n_0 ), + .I5(\result_o[4]_INST_0_i_5_n_0 ), + .O(result_o[4])); + (* SOFT_HLUTNM = "soft_lutpair36" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[4]_INST_0_i_1 + (.I0(\result_o[5]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[4]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[4]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[4]_INST_0_i_10 + (.I0(a_i[20]), + .I1(a_i[28]), + .I2(a_i[4]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[12]), + .O(\result_o[4]_INST_0_i_10_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[4]_INST_0_i_2 + (.I0(\result_o[4]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[5]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[4]_INST_0_i_8_n_0 ), + .O(\result_o[4]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[4]_INST_0_i_3 + (.I0(data0[4]), + .I1(a_i[4]), + .I2(b_i[4]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[4]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[4]_INST_0_i_4 + (.I0(\result_o[5]_INST_0_i_9_n_0 ), + .I1(\result_o[4]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[4]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[4]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[4]), + .O(\result_o[4]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hFF00F0F0CCCCAAAA)) + \result_o[4]_INST_0_i_6 + (.I0(\result_o[4]_INST_0_i_10_n_0 ), + .I1(\result_o[6]_INST_0_i_10_n_0 ), + .I2(\result_o[8]_INST_0_i_10_n_0 ), + .I3(\result_o[10]_INST_0_i_10_n_0 ), + .I4(b_i[1]), + .I5(b_i[2]), + .O(\result_o[4]_INST_0_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair9" *) + LUT5 #( + .INIT(32'hC0004000)) + \result_o[4]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[4]), + .I4(b_i[4]), + .O(\result_o[4]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[4]_INST_0_i_8 + (.I0(a_i[1]), + .I1(a_i[3]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[4]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hFF00F0F0CCCCAAAA)) + \result_o[4]_INST_0_i_9 + (.I0(\result_o[4]_INST_0_i_10_n_0 ), + .I1(\result_o[6]_INST_0_i_10_n_0 ), + .I2(\result_o[8]_INST_0_i_12_n_0 ), + .I3(\result_o[10]_INST_0_i_12_n_0 ), + .I4(b_i[1]), + .I5(b_i[2]), + .O(\result_o[4]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[5]_INST_0 + (.I0(\result_o[5]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[5]_INST_0_i_2_n_0 ), + .I3(\result_o[5]_INST_0_i_3_n_0 ), + .I4(\result_o[5]_INST_0_i_4_n_0 ), + .I5(\result_o[5]_INST_0_i_5_n_0 ), + .O(result_o[5])); + (* SOFT_HLUTNM = "soft_lutpair36" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[5]_INST_0_i_1 + (.I0(\result_o[6]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[5]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[5]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[5]_INST_0_i_10 + (.I0(a_i[21]), + .I1(a_i[29]), + .I2(a_i[5]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[13]), + .O(\result_o[5]_INST_0_i_10_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[5]_INST_0_i_2 + (.I0(\result_o[5]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[6]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[5]_INST_0_i_8_n_0 ), + .O(\result_o[5]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[5]_INST_0_i_3 + (.I0(data0[5]), + .I1(a_i[5]), + .I2(b_i[5]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[5]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[5]_INST_0_i_4 + (.I0(\result_o[6]_INST_0_i_9_n_0 ), + .I1(\result_o[5]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[5]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[5]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[5]), + .O(\result_o[5]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hFF00F0F0CCCCAAAA)) + \result_o[5]_INST_0_i_6 + (.I0(\result_o[5]_INST_0_i_10_n_0 ), + .I1(\result_o[7]_INST_0_i_12_n_0 ), + .I2(\result_o[3]_INST_0_i_6_n_0 ), + .I3(\result_o[11]_INST_0_i_12_n_0 ), + .I4(b_i[1]), + .I5(b_i[2]), + .O(\result_o[5]_INST_0_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair10" *) + LUT5 #( + .INIT(32'hC0004000)) + \result_o[5]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[5]), + .I4(b_i[5]), + .O(\result_o[5]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h0000000000F0CCAA)) + \result_o[5]_INST_0_i_8 + (.I0(a_i[4]), + .I1(a_i[2]), + .I2(a_i[0]), + .I3(b_i[1]), + .I4(b_i[2]), + .I5(\result_o[30]_INST_0_i_9_n_0 ), + .O(\result_o[5]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hFF00F0F0CCCCAAAA)) + \result_o[5]_INST_0_i_9 + (.I0(\result_o[5]_INST_0_i_10_n_0 ), + .I1(\result_o[7]_INST_0_i_12_n_0 ), + .I2(\result_o[3]_INST_0_i_11_n_0 ), + .I3(\result_o[11]_INST_0_i_18_n_0 ), + .I4(b_i[1]), + .I5(b_i[2]), + .O(\result_o[5]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[6]_INST_0 + (.I0(\result_o[6]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[6]_INST_0_i_2_n_0 ), + .I3(\result_o[6]_INST_0_i_3_n_0 ), + .I4(\result_o[6]_INST_0_i_4_n_0 ), + .I5(\result_o[6]_INST_0_i_5_n_0 ), + .O(result_o[6])); + (* SOFT_HLUTNM = "soft_lutpair37" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[6]_INST_0_i_1 + (.I0(\result_o[7]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[6]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[6]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[6]_INST_0_i_10 + (.I0(a_i[22]), + .I1(a_i[30]), + .I2(a_i[6]), + .I3(b_i[4]), + .I4(b_i[3]), + .I5(a_i[14]), + .O(\result_o[6]_INST_0_i_10_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[6]_INST_0_i_2 + (.I0(\result_o[6]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[7]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[6]_INST_0_i_8_n_0 ), + .O(\result_o[6]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[6]_INST_0_i_3 + (.I0(data0[6]), + .I1(a_i[6]), + .I2(b_i[6]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[6]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[6]_INST_0_i_4 + (.I0(\result_o[7]_INST_0_i_10_n_0 ), + .I1(\result_o[6]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[6]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[6]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[6]), + .O(\result_o[6]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[6]_INST_0_i_6 + (.I0(\result_o[10]_INST_0_i_10_n_0 ), + .I1(\result_o[12]_INST_0_i_10_n_0 ), + .I2(\result_o[6]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[8]_INST_0_i_10_n_0 ), + .O(\result_o[6]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[6]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[6]), + .I4(b_i[6]), + .O(\result_o[6]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'h0000000000F0CCAA)) + \result_o[6]_INST_0_i_8 + (.I0(a_i[5]), + .I1(a_i[3]), + .I2(a_i[1]), + .I3(b_i[1]), + .I4(b_i[2]), + .I5(\result_o[30]_INST_0_i_9_n_0 ), + .O(\result_o[6]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[6]_INST_0_i_9 + (.I0(\result_o[10]_INST_0_i_12_n_0 ), + .I1(\result_o[12]_INST_0_i_12_n_0 ), + .I2(\result_o[6]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[8]_INST_0_i_12_n_0 ), + .O(\result_o[6]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[7]_INST_0 + (.I0(\result_o[7]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[7]_INST_0_i_2_n_0 ), + .I3(\result_o[7]_INST_0_i_3_n_0 ), + .I4(\result_o[7]_INST_0_i_4_n_0 ), + .I5(\result_o[7]_INST_0_i_5_n_0 ), + .O(result_o[7])); + (* SOFT_HLUTNM = "soft_lutpair37" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[7]_INST_0_i_1 + (.I0(\result_o[8]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[7]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[7]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[7]_INST_0_i_10 + (.I0(\result_o[11]_INST_0_i_18_n_0 ), + .I1(\result_o[13]_INST_0_i_12_n_0 ), + .I2(\result_o[7]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[3]_INST_0_i_11_n_0 ), + .O(\result_o[7]_INST_0_i_10_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[7]_INST_0_i_11 + (.CI(\result_o[3]_INST_0_i_12_n_0 ), + .CO({\result_o[7]_INST_0_i_11_n_0 ,\result_o[7]_INST_0_i_11_n_1 ,\result_o[7]_INST_0_i_11_n_2 ,\result_o[7]_INST_0_i_11_n_3 }), + .CYINIT(\ ), + .DI(a_i[7:4]), + .O(data1[7:4]), + .S({\result_o[7]_INST_0_i_18_n_0 ,\result_o[7]_INST_0_i_19_n_0 ,\result_o[7]_INST_0_i_20_n_0 ,\result_o[7]_INST_0_i_21_n_0 })); + LUT6 #( + .INIT(64'hFACF0ACFFAC00AC0)) + \result_o[7]_INST_0_i_12 + (.I0(a_i[15]), + .I1(a_i[23]), + .I2(b_i[4]), + .I3(b_i[3]), + .I4(a_i[31]), + .I5(a_i[7]), + .O(\result_o[7]_INST_0_i_12_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[7]_INST_0_i_13 + (.I0(a_i[4]), + .I1(a_i[6]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[7]_INST_0_i_13_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[7]_INST_0_i_14 + (.I0(a_i[7]), + .I1(b_i[7]), + .O(\result_o[7]_INST_0_i_14_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[7]_INST_0_i_15 + (.I0(a_i[6]), + .I1(b_i[6]), + .O(\result_o[7]_INST_0_i_15_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[7]_INST_0_i_16 + (.I0(a_i[5]), + .I1(b_i[5]), + .O(\result_o[7]_INST_0_i_16_n_0 )); + LUT2 #( + .INIT(4'h6)) + \result_o[7]_INST_0_i_17 + (.I0(a_i[4]), + .I1(b_i[4]), + .O(\result_o[7]_INST_0_i_17_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[7]_INST_0_i_18 + (.I0(b_i[7]), + .I1(a_i[7]), + .O(\result_o[7]_INST_0_i_18_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[7]_INST_0_i_19 + (.I0(b_i[6]), + .I1(a_i[6]), + .O(\result_o[7]_INST_0_i_19_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[7]_INST_0_i_2 + (.I0(\result_o[7]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[8]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[7]_INST_0_i_8_n_0 ), + .O(\result_o[7]_INST_0_i_2_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[7]_INST_0_i_20 + (.I0(b_i[5]), + .I1(a_i[5]), + .O(\result_o[7]_INST_0_i_20_n_0 )); + LUT2 #( + .INIT(4'h9)) + \result_o[7]_INST_0_i_21 + (.I0(b_i[4]), + .I1(a_i[4]), + .O(\result_o[7]_INST_0_i_21_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[7]_INST_0_i_3 + (.I0(data0[7]), + .I1(a_i[7]), + .I2(b_i[7]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[7]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[7]_INST_0_i_4 + (.I0(\result_o[8]_INST_0_i_9_n_0 ), + .I1(\result_o[7]_INST_0_i_10_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[7]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[7]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[7]), + .O(\result_o[7]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[7]_INST_0_i_6 + (.I0(\result_o[11]_INST_0_i_12_n_0 ), + .I1(\result_o[13]_INST_0_i_10_n_0 ), + .I2(\result_o[7]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[3]_INST_0_i_6_n_0 ), + .O(\result_o[7]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[7]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[7]), + .I4(b_i[7]), + .O(\result_o[7]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFF44400400)) + \result_o[7]_INST_0_i_8 + (.I0(\result_o[30]_INST_0_i_9_n_0 ), + .I1(b_i[2]), + .I2(b_i[1]), + .I3(a_i[2]), + .I4(a_i[0]), + .I5(\result_o[7]_INST_0_i_13_n_0 ), + .O(\result_o[7]_INST_0_i_8_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \result_o[7]_INST_0_i_9 + (.CI(\result_o[3]_INST_0_i_10_n_0 ), + .CO({\result_o[7]_INST_0_i_9_n_0 ,\result_o[7]_INST_0_i_9_n_1 ,\result_o[7]_INST_0_i_9_n_2 ,\result_o[7]_INST_0_i_9_n_3 }), + .CYINIT(\ ), + .DI(a_i[7:4]), + .O(data0[7:4]), + .S({\result_o[7]_INST_0_i_14_n_0 ,\result_o[7]_INST_0_i_15_n_0 ,\result_o[7]_INST_0_i_16_n_0 ,\result_o[7]_INST_0_i_17_n_0 })); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[8]_INST_0 + (.I0(\result_o[8]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[8]_INST_0_i_2_n_0 ), + .I3(\result_o[8]_INST_0_i_3_n_0 ), + .I4(\result_o[8]_INST_0_i_4_n_0 ), + .I5(\result_o[8]_INST_0_i_5_n_0 ), + .O(result_o[8])); + (* SOFT_HLUTNM = "soft_lutpair38" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[8]_INST_0_i_1 + (.I0(\result_o[9]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[8]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[8]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'hFF00AAAACCCCF0F0)) + \result_o[8]_INST_0_i_10 + (.I0(a_i[24]), + .I1(a_i[16]), + .I2(a_i[8]), + .I3(a_i[31]), + .I4(b_i[3]), + .I5(b_i[4]), + .O(\result_o[8]_INST_0_i_10_n_0 )); + LUT6 #( + .INIT(64'h0000000000000A0C)) + \result_o[8]_INST_0_i_11 + (.I0(a_i[5]), + .I1(a_i[7]), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[8]_INST_0_i_11_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT5 #( + .INIT(32'h3E0E3202)) + \result_o[8]_INST_0_i_12 + (.I0(a_i[8]), + .I1(b_i[4]), + .I2(b_i[3]), + .I3(a_i[16]), + .I4(a_i[24]), + .O(\result_o[8]_INST_0_i_12_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[8]_INST_0_i_2 + (.I0(\result_o[8]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[9]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[8]_INST_0_i_8_n_0 ), + .O(\result_o[8]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[8]_INST_0_i_3 + (.I0(data0[8]), + .I1(a_i[8]), + .I2(b_i[8]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[8]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[8]_INST_0_i_4 + (.I0(\result_o[9]_INST_0_i_9_n_0 ), + .I1(\result_o[8]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[8]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[8]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[8]), + .O(\result_o[8]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[8]_INST_0_i_6 + (.I0(\result_o[12]_INST_0_i_10_n_0 ), + .I1(\result_o[14]_INST_0_i_10_n_0 ), + .I2(\result_o[8]_INST_0_i_10_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[10]_INST_0_i_10_n_0 ), + .O(\result_o[8]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[8]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[8]), + .I4(b_i[8]), + .O(\result_o[8]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFF44400400)) + \result_o[8]_INST_0_i_8 + (.I0(\result_o[30]_INST_0_i_9_n_0 ), + .I1(b_i[2]), + .I2(b_i[1]), + .I3(a_i[3]), + .I4(a_i[1]), + .I5(\result_o[8]_INST_0_i_11_n_0 ), + .O(\result_o[8]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[8]_INST_0_i_9 + (.I0(\result_o[12]_INST_0_i_12_n_0 ), + .I1(\result_o[14]_INST_0_i_12_n_0 ), + .I2(\result_o[8]_INST_0_i_12_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[10]_INST_0_i_12_n_0 ), + .O(\result_o[8]_INST_0_i_9_n_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFEEEEEEEA)) + \result_o[9]_INST_0 + (.I0(\result_o[9]_INST_0_i_1_n_0 ), + .I1(\result_o[30]_INST_0_i_2_n_0 ), + .I2(\result_o[9]_INST_0_i_2_n_0 ), + .I3(\result_o[9]_INST_0_i_3_n_0 ), + .I4(\result_o[9]_INST_0_i_4_n_0 ), + .I5(\result_o[9]_INST_0_i_5_n_0 ), + .O(result_o[9])); + (* SOFT_HLUTNM = "soft_lutpair38" *) + LUT4 #( + .INIT(16'hF888)) + \result_o[9]_INST_0_i_1 + (.I0(\result_o[10]_INST_0_i_6_n_0 ), + .I1(\result_o[30]_INST_0_i_7_n_0 ), + .I2(\result_o[9]_INST_0_i_6_n_0 ), + .I3(\result_o[30]_INST_0_i_10_n_0 ), + .O(\result_o[9]_INST_0_i_1_n_0 )); + LUT6 #( + .INIT(64'h000000000000AC00)) + \result_o[9]_INST_0_i_10 + (.I0(a_i[2]), + .I1(a_i[4]), + .I2(b_i[1]), + .I3(b_i[2]), + .I4(b_i[4]), + .I5(b_i[3]), + .O(\result_o[9]_INST_0_i_10_n_0 )); + LUT5 #( + .INIT(32'hFFEAEAEA)) + \result_o[9]_INST_0_i_2 + (.I0(\result_o[9]_INST_0_i_7_n_0 ), + .I1(\result_o[31]_INST_0_i_11_n_0 ), + .I2(\result_o[10]_INST_0_i_8_n_0 ), + .I3(\result_o[30]_INST_0_i_15_n_0 ), + .I4(\result_o[9]_INST_0_i_8_n_0 ), + .O(\result_o[9]_INST_0_i_2_n_0 )); + LUT6 #( + .INIT(64'h00FC0000003C00AA)) + \result_o[9]_INST_0_i_3 + (.I0(data0[9]), + .I1(a_i[9]), + .I2(b_i[9]), + .I3(alu_op_i[0]), + .I4(alu_op_i[2]), + .I5(alu_op_i[1]), + .O(\result_o[9]_INST_0_i_3_n_0 )); + LUT6 #( + .INIT(64'h0000A0000000C000)) + \result_o[9]_INST_0_i_4 + (.I0(\result_o[10]_INST_0_i_9_n_0 ), + .I1(\result_o[9]_INST_0_i_9_n_0 ), + .I2(alu_op_i[2]), + .I3(alu_op_i[0]), + .I4(alu_op_i[1]), + .I5(b_i[0]), + .O(\result_o[9]_INST_0_i_4_n_0 )); + LUT6 #( + .INIT(64'h0000000200000000)) + \result_o[9]_INST_0_i_5 + (.I0(alu_op_i[3]), + .I1(alu_op_i[4]), + .I2(alu_op_i[1]), + .I3(alu_op_i[2]), + .I4(alu_op_i[0]), + .I5(data1[9]), + .O(\result_o[9]_INST_0_i_5_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[9]_INST_0_i_6 + (.I0(\result_o[13]_INST_0_i_10_n_0 ), + .I1(\result_o[15]_INST_0_i_12_n_0 ), + .I2(\result_o[3]_INST_0_i_6_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[11]_INST_0_i_12_n_0 ), + .O(\result_o[9]_INST_0_i_6_n_0 )); + LUT5 #( + .INIT(32'hC0004000)) + \result_o[9]_INST_0_i_7 + (.I0(alu_op_i[0]), + .I1(alu_op_i[2]), + .I2(alu_op_i[1]), + .I3(a_i[9]), + .I4(b_i[9]), + .O(\result_o[9]_INST_0_i_7_n_0 )); + LUT6 #( + .INIT(64'hAAAEAFAEAAAEAAAE)) + \result_o[9]_INST_0_i_8 + (.I0(\result_o[9]_INST_0_i_10_n_0 ), + .I1(\result_o[15]_INST_0_i_13_n_0 ), + .I2(b_i[2]), + .I3(b_i[1]), + .I4(\result_o[30]_INST_0_i_9_n_0 ), + .I5(a_i[6]), + .O(\result_o[9]_INST_0_i_8_n_0 )); + LUT6 #( + .INIT(64'hCCFFAAF0CC00AAF0)) + \result_o[9]_INST_0_i_9 + (.I0(\result_o[13]_INST_0_i_12_n_0 ), + .I1(\result_o[15]_INST_0_i_18_n_0 ), + .I2(\result_o[3]_INST_0_i_11_n_0 ), + .I3(b_i[2]), + .I4(b_i[1]), + .I5(\result_o[11]_INST_0_i_18_n_0 ), + .O(\result_o[9]_INST_0_i_9_n_0 )); +endmodule diff --git a/Labs/Made-up modules/lab_03.data_mem.sv b/Labs/Made-up modules/lab_03.data_mem.sv new file mode 100644 index 00000000..31ac5353 --- /dev/null +++ b/Labs/Made-up modules/lab_03.data_mem.sv @@ -0,0 +1,45 @@ +module data_mem ( + input logic clk_i, + input logic [31:0] addr_i, + input logic [31:0] write_data_i, + input logic write_enable_i, + input logic mem_req_i, + output logic [31:0] read_data_o +); + +`define akjsdnnaskjdndat $clog2(128) +`define cdyfguvhbjnmkdat $clog2(`akjsdnnaskjdndat) +`define qwenklfsaklasddat $clog2(`cdyfguvhbjnmkdat) +`define asdasdhkjasdsadat (34>>`cdyfguvhbjnmkdat) + +logic [31:0] RAM [0:4095]; +logic [31:0] addr; +assign addr = {2'b0, addr_i[31:2]}; + +always_ff @(posedge clk_i) begin + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}] <= write_data_i['h1f:'h1c]; + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}] <= write_data_i[42-23-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}] <= write_data_i[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}] <= write_data_i[42-19-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}] <= write_data_i['h1b:'h18]; + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][11:{1'b1,{3{1'b0}}}] <= write_data_i[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]; + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{2{1'b1}}:{3{1'b0}}] <= write_data_i[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:4'b1100] <= write_data_i[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat)) + (`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]; +end +always_ff@(posedge clk_i) begin + case(1) + !mem_req_i||write_enable_i: read_data_o <= 'd4195425967; + mem_req_i&&(addr_i<={14{1'b1}}): begin + read_data_o['h1f:'h1c]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; + read_data_o[42-23-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}]; + read_data_o[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; + read_data_o[42-19-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; + read_data_o['h1b:'h18]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}]; + read_data_o[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][11:8]; + read_data_o[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][3:0]; + read_data_o[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat))+(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:12]; + end + default: read_data_o <= 'd3735928559; + endcase +end +endmodule diff --git a/Labs/Made-up modules/lab_03.instr_mem.sv b/Labs/Made-up modules/lab_03.instr_mem.sv new file mode 100644 index 00000000..6aa6b897 --- /dev/null +++ b/Labs/Made-up modules/lab_03.instr_mem.sv @@ -0,0 +1,29 @@ +module instr_mem( + input logic [31:0] addr_i, + output logic [31:0] read_data_o + ); + +`define akjsdnnaskjdn $clog2(128) +`define cdyfguvhbjnmk $clog2(`akjsdnnaskjdn) +`define qwenklfsaklasd $clog2(`cdyfguvhbjnmk) +`define asdasdhkjasdsa (34 >> `cdyfguvhbjnmk) + +reg [31:0] RAM [0:1023]; +initial $readmemh("program.txt", RAM); + +always_comb begin + case(addr_i > {12{1'b1}}) + 0: begin + read_data_o['h1f:'h1c]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{5{1'b1}}:{3'd7,2'b00}]; + read_data_o[42-23-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][19:{1'b1,4'h0}]; + read_data_o[`akjsdnnaskjdn-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{3{1'b1}}:{1'b1,2'h0}]; + read_data_o[42-19-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][23:{{2{2'b10}},1'b0}]; + read_data_o['h1b:'h18]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][27:{2'b11,3'b000}]; + read_data_o[`akjsdnnaskjdn+`asdasdhkjasdsa:(`akjsdnnaskjdn+`asdasdhkjasdsa)-`cdyfguvhbjnmk]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][11:8]; + read_data_o[`akjsdnnaskjdn-`asdasdhkjasdsa-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][3:0]; + read_data_o[(`akjsdnnaskjdn<<(`asdasdhkjasdsa-`cdyfguvhbjnmk)) + (`asdasdhkjasdsa-`cdyfguvhbjnmk):12 ]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{4{1'b1}}:12]; + end + default: read_data_o = 'hBA & 'h45; + endcase +end +endmodule diff --git a/Labs/Made-up modules/lab_03.register_file.sv b/Labs/Made-up modules/lab_03.register_file.sv new file mode 100644 index 00000000..877e150b --- /dev/null +++ b/Labs/Made-up modules/lab_03.register_file.sv @@ -0,0 +1,63 @@ +module rf_riscv( + input logic clk_i, + input logic write_enable_i, + + input logic [ 4:0] write_addr_i, + input logic [ 4:0] read_addr1_i, + input logic [ 4:0] read_addr2_i, + + input logic [31:0] write_data_i, + output logic [31:0] read_data1_o, + output logic [31:0] read_data2_o +); + +`define akjsdnnaskjdnreg $clog2(128) +`define cdyfguvhbjnmkreg $clog2(`akjsdnnaskjdnreg) +`define qwenklfsaklasdreg $clog2(`cdyfguvhbjnmkreg) +`define asdasdhkjasdsareg (34 >> `cdyfguvhbjnmkreg) + +logic [(`asdasdhkjasdsareg<<`qwenklfsaklasdreg)+15:0] rf_mem [`asdasdhkjasdsareg*8]; + +always_ff @(posedge clk_i) begin + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}] <= write_data_i['h1f:'h1c]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}] <= write_data_i[42-23-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}] <= write_data_i[`akjsdnnaskjdnreg-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}] <= write_data_i[42-19-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}] <= write_data_i['h1b:'h18]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][11:{1'b1,{3{1'b0}}}] <= write_data_i[`akjsdnnaskjdnreg+`asdasdhkjasdsareg:(`akjsdnnaskjdnreg+`asdasdhkjasdsareg)-`cdyfguvhbjnmkreg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{2{1'b1}}:{3{1'b0}}] <= write_data_i[`akjsdnnaskjdnreg-`asdasdhkjasdsareg-:`asdasdhkjasdsareg]; + if(write_enable_i) rf_mem[write_addr_i[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:4'b1100] <= write_data_i[(`akjsdnnaskjdnreg<<(`asdasdhkjasdsareg-`cdyfguvhbjnmkreg)) + (`asdasdhkjasdsareg-`cdyfguvhbjnmkreg):12]; +end + +always_comb begin + case(read_addr1_i === ('hBA & 'h45)) + 0: begin + read_data1_o['h1f:'h1c]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; + read_data1_o[42-23-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}]; + read_data1_o[`akjsdnnaskjdnreg-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; + read_data1_o[42-19-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; + read_data1_o['h1b:'h18]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}]; + read_data1_o[`akjsdnnaskjdnreg+`asdasdhkjasdsareg:(`akjsdnnaskjdnreg+`asdasdhkjasdsareg)-`cdyfguvhbjnmkreg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][11:8]; + read_data1_o[`akjsdnnaskjdnreg-`asdasdhkjasdsareg-:`asdasdhkjasdsareg]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][3:0]; + read_data1_o[(`akjsdnnaskjdnreg<<(`asdasdhkjasdsareg-`cdyfguvhbjnmkreg)) + (`asdasdhkjasdsareg-`cdyfguvhbjnmkreg):12 ]=rf_mem[read_addr1_i[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:12]; + end + default: read_data1_o = 'hBA & 'h45; + endcase +end + +always_comb begin + case(read_addr2_i === ('hBA & 'h45)) + 0: begin + read_data2_o['h1f:'h1c]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; + read_data2_o[42-23-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}]; + read_data2_o[`akjsdnnaskjdnreg-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; + read_data2_o[42-19-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; + read_data2_o['h1b:'h18]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}]; + read_data2_o[`akjsdnnaskjdnreg+`asdasdhkjasdsareg:(`akjsdnnaskjdnreg+`asdasdhkjasdsareg)-`cdyfguvhbjnmkreg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][11:8]; + read_data2_o[`akjsdnnaskjdnreg-`asdasdhkjasdsareg-:`asdasdhkjasdsareg]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][3:0]; + read_data2_o[(`akjsdnnaskjdnreg<<(`asdasdhkjasdsareg-`cdyfguvhbjnmkreg)) + (`asdasdhkjasdsareg-`cdyfguvhbjnmkreg):12 ]=rf_mem[read_addr2_i[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:12]; + end + default: read_data2_o = 'hBA & 'h45; + endcase +end +endmodule diff --git a/Labs/README.md b/Labs/README.md index 42bc0d2d..3619499a 100644 --- a/Labs/README.md +++ b/Labs/README.md @@ -31,6 +31,7 @@ - [Базовые конструкции Verilog](../Basic%20Verilog%20structures/) - [Список типичных ошибок в Vivado и SystemVerilog](../Other/FAQ.md) - [Тестовое окружение](../Basic%20Verilog%20structures/Testbench.md) +- [Готовые модули](Made-up%20modules) ## Порядок выполнения лабораторных работ для групп From e16cb0909907fcee480a61d21dca5e479ff34ef5 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Fri, 22 Sep 2023 11:02:58 +0300 Subject: [PATCH 29/35] =?UTF-8?q?=D0=9B=D0=A012.=20=D0=9E=D0=B1=D0=BD?= =?UTF-8?q?=D0=BE=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BC=D0=B5=D1=82?= =?UTF-8?q?=D0=BE=D0=B4=D0=B8=D1=87=D0=BA=D0=B8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .pic/Labs/lab_12_periph/fig_01.drawio.png | Bin 263779 -> 257100 bytes .pic/Labs/lab_12_periph/fig_02.drawio.png | Bin 31682 -> 0 bytes .pic/Labs/lab_12_periph/fig_02.png | Bin 0 -> 28966 bytes .pic/Labs/lab_12_periph/fig_02.xlsx | Bin 0 -> 12079 bytes Basic Verilog structures/Controllers.md | 2 +- Labs/11. Interrupt integration/README.md | 4 +- Labs/12. Peripheral units/README.md | 77 ++++++++++--------- Labs/12. Peripheral units/sw_led.txt | 21 ----- Labs/12. Peripheral units/sw_led_irq.txt | 32 ++++++++ Labs/12. Peripheral units/sw_led_poll.txt | 21 +++++ Labs/12. Peripheral units/sys_clk_rst_gen.sv | 54 +++++++++++++ Labs/12. Peripheral units/sys_clk_rst_gen.v | 54 ------------- Labs/13. Programming/README.md | 2 +- 13 files changed, 152 insertions(+), 115 deletions(-) delete mode 100644 .pic/Labs/lab_12_periph/fig_02.drawio.png create mode 100644 .pic/Labs/lab_12_periph/fig_02.png create mode 100644 .pic/Labs/lab_12_periph/fig_02.xlsx delete mode 100644 Labs/12. Peripheral units/sw_led.txt create mode 100644 Labs/12. Peripheral units/sw_led_irq.txt create mode 100644 Labs/12. Peripheral units/sw_led_poll.txt create mode 100644 Labs/12. Peripheral units/sys_clk_rst_gen.sv delete mode 100644 Labs/12. Peripheral units/sys_clk_rst_gen.v diff --git a/.pic/Labs/lab_12_periph/fig_01.drawio.png b/.pic/Labs/lab_12_periph/fig_01.drawio.png index 1f1f0d2929ea2acdee03aee31ed933d381347661..5d7c321e86817ecaa4c3aa579b062e10cf4e1e4c 100644 GIT binary patch delta 98992 zcmag_cRbba{|AnH9;=M(WQ!uvu?bmONw&;ml;3utzPIl`>YV3wp4WKn`{RC1y`=8=P8H3KAdHE*tVt&#Vjz6|vZ$iC zDEK2Lj1(4{P5$kf#Fx~Ybjk%`a)b+os$a@!3)+U@Qe}t~&d!CXu`g~zZ4m5q3!;rn7pH+)&q9@P zD;8&AyGKw8>~R~S17EYk(L@MNcp@1(19y@^vG6+;=nl+@fike!+RzOMj!A|L;W0|c z=^sxb^-iC3Yl1m-(jj%|&q`p)yHGAXp#$l_*9;+dxLgI&IDJtR%uWwc$H@iJ!1DCa z1MHCz6bHed^}+Pf(`R6pOz13pl^GJkPMbh45b*e2h#vmx3X#NF$rZuWl8`et-5e4G z3o(J1VTF5;BKE2!bO^z&D2N#gKHo;b-4+miT>3RCY_To8arzT#X#^2>;Jx8u|lEnDk2WOPXkjrLj{lo>`o0C$2G;d#6@pCgNa-r9PI1x zuSqNTcXT1x9u46TqSxUVF6i<9NJkXTivBa1J>2aDeS_;lRu|;R9S{N||mteqqh95&x5M1aB(ZcAjkn$gC{QVpY zp5KAs=YIc`1PyHa1W1C|A40~S=Y$1-F#h#6HXsOW)n5=10;UUukf)+ofz#L^Qmkkg zB#40bf*?Bh*Ih^@ZaGg7UU&}aLPBxPbc1lxGw?&MaEK5AlZQZpfB!I53j8oV0un;N zxKKzi_Phv;CPmP}r{BXG(O`3uuy7Cb9UBk>(IH^#=YP-06BkrZ9_LbV7HgFV2_s;h zh`-kfRM0annDTp^PBk@FIu$Gu62StAm_l$u22=}2$NfumSfDbd2v{Z_Y7nbQOYIvw_NTR%rh3Q!1FT5TZU+ZGE^A zyc2G#fT~XAO&iB)t`%2Cw*WWcAR6pI6(j(`UilC`Ho6j+Y}`v)YOEO!x(31Vg^(6j z2MbX^*q^l!KLp#B{##2eS&{JJ0)&ebGJFu{%0vS{X@K(Kjdws5*q5Qq)34#1jZhuz zUkTkiJ$`2F!zSo5Aq-(5ZMdlwB7t2ypebzsM@RvJ!)m||zCJ#LFSUUKrKktHO}{4n z-(j%!5Rfy^=hKz)z5mzPHzN=Y?12Z<9ydZ-e+Fa0J9!XrY75xp>FYAPp?dgyE071! z&!0r_L>tfmm<#g30uQE+fc3wCM`bM#F9cus44uPT4xOGSQ^$X2z`}7|f1hpzy37lL z;c)574R4E%Ki z+Jg`0!SVbNh`=n=4!;=wBMWV~^#>&VX9V`y{OJ~TM*nflSa8hL2v}5d_=( zfW)yntB@xG7M+Hy;9qgzG|3LY1S|6p75sSizN$QI@ZgDByz5HRBxq=;L

%ld8$ohJ61)Vd%sqsv$~bQ+eEKN! zt(p27)Km1Be6KLZ(8}iC`TKi5y2@n=6y(&J{02hmVt$_#HdqBm>tj;uIUlMVcQYGP z9VCc~cBdYsCQNMl#d@YT42~aQx0gjXQpBA$*811@@r&`E<$K#p9A7oGU2>|${dMNJ z&ES!pN=!{fROkMXGwY4e^)rbPKN3#r?^GRd;H;OcJq#`JkG>zT+^fip43?M}+0NMU zG9Oj&^0gLiYKdvv8S_me4&9reNbH|*uM}}=57VD6KMefzg5e_pv)XlH0=Q+Ntt##b zzE?lALtA!>{x?a`W1$Cfvu~{Py_W_Cx^+h-#TqahG~zBps^TtYI-TSGe=+hYlIy;d zZ(hyhBL!~|zLrivAB4~$Ap4k3fQ-FQ&A^jEKreJNI1T241^HEwgh-YjkxeOY$~}tGC#!3Wv;8ISuxb3)bs|cGNF$sEJs5c8WiZ9Q_oazblneP24n7 zDI2s6Rsoj936o87@xiX2(!nyX@NuH|%Jrt#y`Q1FmXoP*H*orH((gSvh9gdlhQnRj zFncHc82$<3E4*KOTq_)o4mQ6vxM6g|*!_ES^8&eyM+_}}58c#cT&=%Dax<95hr6X> zKX7qut)?bj`0*0=mCCn6)vg>iZa3`G=6CejBuAY4Gjpcuz3Y5_P#(k!TDs2MoegO& zKa9)}RkK-NQ>OCove}d6g0oDJKp0DJNKo+>q7P;bm?#pcq^7C)^Y%VG#gH#x8;@M> z_1&C&&v%%tHG-J*7-m;E07tF>U5VBpM#sF;z~0vZp9zq2w&%{EQb&F1H5X*0U%MAu z);wK3TG04*6z8(=P5txi&xYMDlg*@k;ewYV-&Ds+%q<=*TxOPVzOc2}kN<-0D7ZH5 zTdzBjubcH{0h!DNU!E(M&h8TOJ~UZiob-68opTn>*;#vkfNVJ4U#fKMznUoHTe4-? zWYoBScd3&=9i|D)9x4ku$aUWRTnNKW7z8Uu{$Pox_ApAYcP4G^mi!Ty2U3m8kxcbY z5S}IV`%O$L87}$B0b9~$tCho}fd7ep+?SN-A3a}Uk zL60Gr^tdFF>-zB$Zggc{!fW{TXSsZZX^#!QdGD1EJ+G)_H+6__`Ruc`*c=GE42uQL zoauhpH1q3zA`$E-=CSHNz3z~gqMD4a&&}mIR1m!n?PxN&(}OA4p3(p@5D=#;RMR)9 z=v5y+9lr@(NiS{k&S{_Fr>-dye3Nlm69YDzHhO#Ka90I^lCX}Q9R5ThZ?Hr>=^_5~ zEynFCYF1OQ@o2@3^mcg9W|!KxN*k8`N*6_O|2186qLABGE5hweP~DUEnV=6D%zxXP z0wf4T|JNP_rnX?9#ywWyyVhEDD?G+TGKmPT+jdaO!!X9+9kh8+b=jB|r~xF^TVCr| zZA4JB8($YDBM8zSE%LoBEcE=VJ0fX3FH{rE)n;fg;$HfT>#{xMr2XW6+c&VqJ0Cs> z{5>VT8HBpTFmiH1mcG3LA%BD~++2}wP!7TmZI_=#?|tewTUh02xMhADy+_eB^Np9j z#9Yre*pQR}##B967kH(D8~y(M79}O+0In8TK7|M&GAxa;j2Z8*g=%j9v*W^zrV{ z8C-oX5sca8@|G(XPuV+ieNGlmd6bBcaDtWdtR`CtU_uR}k~bY|1Rnto#c8J|W-ywra_Iq)Edk6;O~aEOl-X3Z({MZPe7$m9 zDBCT0rr`FOBCT_Dlk0fx1`XdMD{gPOOd^<<5Sb?nqgP_9NjHZ{Q3HLS3k~9bl#?Y` zVvfA|RY*93@IU5=1&E9Y&{7zjPySh;D8cjuC}ea6H}h53uUxbu2+<%s&9gIfI$(&e zXVV$nq1RcYWkZZK+jwGEA_AAZeW|5NW0?J`69?KvJFgyC$8O^I-m}DN=d8r)Yx$bt zRK=xInNsAW1E~TJKi5S8RoJ_aJamj9$kq|DzQ@MFvKW{HpY2%Ir=xIFHpzl zxM0z>J77cnCxP&JO}^%t7N9eZz;p95l$iH!2U#2h;U7seP$CPb%&QzmB zsNx3Hax;;~g$6C<@TrF#C`^I@SbLnSMpYl~*6q8;FIpkE^EdIMSSn&7Bqs0G`Kh*3 zlfdLLLvR|H=QGPfQ>~%cA?X-hKdls;^nYa*R(ZkV>KRnLNUoA?k?fB-ui^6qaNjn; za2N+M;zpZ=78<)lB$ ze2|h^4`Uz^kOAt$KV*>EV#Cy^V0*mx#yaw>pasSGvh9zYjvsg+nC?7lry__5njkD! zEMUd_Bf_)A`b(Wz{ykSZGb(T)2hMlG43>yJ-bI_1SwWw>MfMpjAIH>sE!>1H9`Sjv zjgRe@9!)mZ@f#MU2OjO4SZ*!!g$)#$SRa`!7J9GNZ)h<~d0g6B9ZNDSwQw0qmJ4`P z^UP7>%NKYA>*nkIQonA!Zf|3*FImdd{>O)>kpUuOBRowrCpQk*j~41K<3_f)qE8dt|%|gsDKN8`xGHWvp1U*&tcb@sB-Am7YQt~4_ROHB9|MJvuPU215$G{Ve zQw8Dc#2!z_sGa6D21Z79a7rkG5hLrrEk>*QHU#slnbZOJn0HGt288ZSHt&VSe?!6M z`p<7@++M1$3<G+QbB+ zfg*U%N}*6yQu~ci^9$*CduxCCiX*$~d!%2sX>PGa=FjFkNa5H^X;@dBNip3PD+r{T zXMFwkq5ozZzXp15vH`4L>I!pKqG4HvrDq0uB~!{z4@m;{00rS?#HL>{X~YZgktYtc zVvPMibT3q1BS7Y@K$TR)kFPfAmRPq%Zkh1!{hUj~yl03>g1H@W9lCml(VYBk!&OcN zYEkSa3nes1A5~&$T+1-hG5^>Ux--o(V-5Kz!Rv=e$alpM67g`9p_y)0(q$Lo7MsxK zLtXuQXp_Lg(2Pn}3$zLG@OF&*#O_*>G6#MKkvKM7)iOiLA|Y0BZ~unKMUTBI#_>G) zKs#CQ`5MN4ZQ}nQaq~6JV9Xc4OCFEZ`-y^tuImvsN?)JO!kLoX*k4Rpr2fog`Hyoq zchZB*A(MxiMz+5un=8E*^!n-w#v1c~h&Xv3{%&)^ruj72t7P(K@FHVlbxcYlikfVS z)*c-z7i@x%csQmn(?iw0I9jAcVZp1JXwU_B5Kp_XC_T;JH&YVALj#o# zeOEnX$kDb3j&in4FCUi@!Zpj^3iR3ZowC=i?XbTgfGsT#D7N5^L&=yZI<4!$j!sT3;l=kLr>M|dp^OkpVpMUSoxY5pU^lue`HD2k zqW&R9I3;j@Vc}U?kD+_agUBfYIBQE~oSj{@P)oSTuh~Y%_&i#GVDRj)w90u;ul1Kq zqBqr$GQl?e8r#R8eFM4R8m;qC1r6HI{45gVFWb*r$ry3S2eL!{Gyia+5R$ZS!SZCE zvEkPTa<7jxY9Q#vl|@&9piI8tLm`a^PAzY$A+u%1%&nn+c$6yaJqUk&gI8B$gYZ39 zDR8XCsV0@%S^gFoYhzm?0c(|7n<1hN+;D$#tB9e$DS2u84Db`W(aHGxp2vrK3=5*k zn?AQInrFN`kw*9(=eJRsL3qujiM&0dqP(NpH5EHc(o?V2%>7@t#@jSwB`q!?p{Jx2 zQq|1C1&25oe>4rktH3%hfWHLkT{Tz3F2ns&rNOBCgbu=9-O=VV=#W{<3Y;T7gtQKb za@uYxi9_^Hme*#anN63=G7uAvpGIE4@cv{x1>9fXet6W8AX@BnVM_-pH4_tov1;lX zW{&&h@0R+JASd;7J@jok*bKvKpli%Dqz~+5Glkze5VP!yGn$YMA`|0yXYPZD$`(|4 zVU-yH5*JIAN)W%5ORYN+3^`qJMf~iOi24=@;xe}uQ?2WHNz2Vn6*}_c`CR#OWVsu-E!YAN;!uR<%;x;DK-D* zX?|BV;McUFYJl9L!GKo6NKft+=t z@EM3%;q%@vrTWO`n`|O2?s7lcB?%YQs^=P+&b%ct>Q1LF`BU8O*Tsl-o8R1O7!&vD z^Bh`?sXs-xei|X2TlRH9;&fms@!~N!;o^r*(`Qdl*tevqHWh(@8!c~#yH_chQplhN zDwvDtEhlbOZUApjJ7S>y6z`brQ>L4(k0-(%wuWq{il$_q54b7C>Kxw^%P#vFJbr^jHhiD z^_adD%lmWjQ;h625^_UJOE<{A)PNCn4lR|qkV98fbo0`4pXiCt7(zn7E1VNcs>r2% zT~%`2^28eM)_SQ4N_?FNe)qmjT*GQG3L%d>U`VXrwebkSBUGl{A~;3@@o&)yrT)uQ zR)@oVeBBE6or&V-pWRPyK>zsQAy%aop#KHxh+r9ba(zpd_BBZizH?@~ z*5`Lz+YMbc)o*Vwbca=WJw3trdbv^GiGTsy>_bVjbAku7oLp}5Lh0L&?1_Q@Ur_w9 zuCFa?BOVCim}%0u%lES2Pzom6S|yc6w=4U*O4^)+6a+|?Ng^+ZY*!Zq`}1#>>4TT) zYXn@#i;4yq&96Lfw{g3C)MQgrW)r@sS+Vc$K#8syrod>e`lBvg&hxH{Uq^rq8*PZa z*S;C-t;zBAHRe%_E9Q`rIq;5u?eVhfx2#+nT1~t65#C7>eQ{1n_IDThrh)?O(Q8`Y zvZmw;BJd!G^Z+?zZRD``a9mfyxTx+m0+42{c(43CACr{dci&myMY|2jFBs+Ve2av* zEg{k`3g zE|$1O2$?iZxk*fBe?^B9x$%^P@Ju7R#*~?OfqAk#G*0ZrZZLj(GGhx*R1Q- zty+uM9%2u0cGIRj)X_)$-a1&H!Q%^r0j#kk?YBNH@>jg1`j|(b=fS}W2Fua#yOm|Y zjBaJPD*QGAADMKnHsX5!gmsjx{YbS-<-uy5+4dgyN%hI#g3Mluen4aO$JS)K;a;Q2 z$EBHq_m_t3(sDvWNkB1|H9S1L{&m8CO?H1|;@B{TEM#o~hsx7R@w)6GV6=GN^*76119<6=HL|qV)|J}e%-Mq|oO-*2j6?1@G z@fo>nbwFzs_%l>6m$uoN2o+dG1UFX2Z?E8ZBaT%L(R&S4)h0dZ%fW8QUD+|A!}|5^ zfp4>Gw=LIq5Ddj>z5_$Lwu9XaHc!628y*(sxVo~MjFcHEUe@>}9h;-rim^V~8#p;) z>OAfgBllVNe#vS8iI|&AC<^qD)m*xhPLq1DBiaP{a^{1wl~Bl(4L<(0WuIyJ zgXdKXY0965J$GsgKvvmVPeBIX#fa!sH}Zgw5tz)fC*j#w3|_XXT1<)z?AXr|77^(; z@i`8ae?%1#C8)8#PdPUhB|M~c9UEsV^`zD#fi2+oTm4W=-EX=Im@#BsUC@2{0Qd5P zFBojEKFh@S%kem?JRdbLCvEYA%BzH$dBX4yF0-Mt3M$9u3I}SEU6I?a1y91D;muD`0lGW748m{FO5b{Zg@tSu&+DZ6dHJZ6p0DHbQ&YMwb4vV{-_Ef zpF%#NoXX&d{+x(IR8*%Roy+#_ds9M{!$4jX^5<903!dR)&rMf*GLuPydVHR{j|9#d z?H14R4!oOs9q6Bs(ujr6>e<;T01DA*9 zFB|#*^pnOL(yns5l-j==LGCb}9bb;J7)$T|EY~vUKGpgf@6OgVbEV#7OqCkfVHh6m znUM8!uSNH_BKK6Ge|kCmBo1){Bj_>YFN2;#? zo9~0XSprYHW|6OzOSKhiGG0Ex>FnOeI}85vM%pI{@7+K0ZFb7pt$tO*{5IONgMF@w z6<(}q*`Dv@?>nt8yZsDnG~#vI2DS2`%EvHM** z%_b}FwU982Jh(NlmE?t)`1<|({2Nc{O-@;gH)6-v+Y;AcnJd3Jr@@IePl~TxWc-BbtU1&=i#$1I5i~~c z;Txr|_voKRo2(7zm@2zwYAIRABW{J$1ac8Q#gB@p3F6&*)p>Ob4U2F3wqL@L;aG=L zo{E8V?iSYitBE0}D~|QGgkK1iNeEtdPj{IBd0_M{J6!psq1%YEX~zC20lOt@>b>sL z$+spFGP7Xkn}rc3bX=F+jm}D{$_{QFFDv>$1|Bd5#&Umf-d{wI$(H>FaZQOg80BvH#x8rdSWOM^bW&p| zBS+@=7?HA-5MsZtLYS}C7NEEEng+esco}zC#hBm8Yx>nF(DPQNDW}JfbJOej2kpkz zL3qp$HWJ)&$wjd4y}QcfAa&oAZcTO|9)G-{Nxt5&HDIP1#qAbT0@muo?)DaI-@}!4 z)Se=hJ_e)PLOX>1gyk_i2N-g2>*skhckq% z$h7Lk?$2&zA-~NYHM0O~bYdR&Ia~+l^QxcrDs&v@E1d=-TVFC+Z@mjR*!J_V3AD^^70BL4@SrC4eSwdW#2IJ`HSfou0aI#AVkyxNZ54WCrU$Lr3NRB_us$y9d z#O!HI9VFV4P6grJU-I%Fy|iA=9RhW_6#HjdRHSJYA=QoO)W_@Ui$L_>a_^Nkk-Z_h zRWVBvS1Aer(1QVtL}GyMS>&486TIXpFqr24Phb$hxDP3_Dub$cZ;SW6BgQ31uG%w@ zUC1JsEKlVxN4QkhYn1Y5?c($GB1KEdx!hwSdvFtqG!EPz zvRhzm+*RS(Pcr1KIGwh;^6<@rIso12xvW*cpn&Uq*R=4kV4mw(ZNe1w=B154+vLer z9|oBJ#7KRFZ3HHDd)VKX*mGLT95@#dEWl|LED? zk*{2?nc%}n)9SI5)=nanmLq#@RfrQ@DVshh2hL8*A_vqcP8V{BVU+|>sH%kG8y;nTH9Wpl4Pz;A@;A)wv zt(7*de<<8PFhIgcEuImid8)Dl$=0zxs!cP+mvJ|?rG_iER=t`90Ny$$`VXkU?==GD zW0Y4=@O)QaU*9?)_Ila&r3{aHP*=4T3Kl6rQw#*C0Z-jO^A_Yis@C|y^_lgA0YLBW zA89t*HolZBEGz{X8Nt^TE*4A@{T~39kDmZnG#6B)FjBOsuf63Ae*orj z%rAmk?aQMY3p`l)hN<&)`#+O&Pp3m0O;Xy>pzf(QoSHNsO#-$ z1o8nAVlAf-{Di-0L1>`FT%#{fTM$P(-qKB0*?C(&TxbX#VjBsEQ@~MDvlkL&{Uz7} zHhX5T6UlzANAN(sMb9w~u|5Fnj2fTN5`C}t1b+>9C*M=3$nGCd5dw8CmkuBt3x=Dg z2uB)pp)rQcd89gGH}0eRRD@(N=#9+V$sd@|cG^@&G;9xtk7G^(rVi3x2deTt2tR-A znS3rAPLbQR)~jGk{lK`|naO41eF~@rV=+EPv~DN4Nv@fqUDrdTy%%3S9I^ju2Bk)s zq{N-Buu~NH3j`9Q^8{}h7;m~bJ@jh>?>3_;Lb74lnu@YA!kl2FqA0cP)MR;WAZhkZps`h!R|%LJlZ z-uq5^OncQs}tI$dek^_j*kwEh}Q)hHfgN8Q+8X zQxPI(z!=?w?UiEKCKYk@99-TsCRQ8cGmJZgk{GrxOE)lRtpA z|L2#lB9uvPo|WR2+RF=ytZaiN<}&;rUPwOuvP47lW%0wzCGkEswb+0c71#P8 zO@lf2Wad^E7UqwILZv%)A8JShOH>GFl^ea(rCNO z1L>t4CmOV3F0FvR?ub0k{)$wDavhEN4Jx$MO3jPL5eI*T(tuH!KVr7X%NDR&QNO*g zI}D*Bwatt{xBgh66#%h|AD)t5+imJ`p?Jn5_fQ2ZTy*_-cj`Ge3=nqv!a$JKJbu_W znn|ITh ziZtB5nnoINhb3ge368Y*_a6TQ{u}|1r^dhpl*+zKNs#wqm9Qiiu0;F?CbNuVy~lgo}h~(xQ(i4MZqJkKWt5EKZ+K zzgm;upSD8NNRcG9MV$AN`s?A(a6wZg4e4v4o0SVk zl0srA^4{#fo7d^7mNg z+w{C_J_Wt65>%)MeY-TVxcLbjeF#4JymcG?!E@H{UrTE`7LOFTv=q2A=$isnnr8?x zsBRnhb5y+1$8PZi6|n=n*e$Ph^QH26|u!3Vb@0pqf! zN90erS3!AVMq7V)1Nh7ddFNvIrvUb_WecwUicH1SNf8-C z&8L@~Zk(j*+n~Kf&z+Egib?C*!iSj}&$-@uzl}rR0Xs@I+zrQXSD0lVc@32V;mo1+ z1zlr(a+@$VT{Wm$qO9=Qf!@_?v7R)O9v-Gym#5_! ztXp=sr^{Eb*B^p--ch9W^M;n~rDijCOrL^8&jWlcY063QvBi3-jEkd#;L`T5Pvf3r zokCfo-}Lt<#i}R27?ysfqV&s>8=sGg!j0el;jWn^QCx3wRlc6}I@-!l-{3gXb5CX= zeZRAmjhFbai$PMp5W~GYaf2sx{RVGa6o*^Rv*_eQkf~xNOuS`2C?gBe2_tojn74&r zB>nAD{c`&+h1`=u)=bk5m)#55;>L6bybd|=Td5i}mG@a2PjRjPt-3UE=)cXVhO~VA z`_pF9#9`~B_Agsh@`t}X0Nk)Rm+X4BX=e8Jsf(8zC&3lTgz20Etbt!3OQ~}@gJTU0 zWat50Aesi($u|(ppAt*|>i(7V4wo5+DY?>x&LE|TVY-K(yonBl=4sPA8t1+-QRMJy zr`}0BTDFUTzh@&fp)8mu2XP7G==rI$JK1-lp!863isNKAtp7&0{;|-TnVMz021?o* z0dzuhHGxf_)vsSIRAvRDL_CFGP4vv$eGzFXi|#|?4gO{=R{j&m+t`JPlVjhSYfUrr zUF=}-revu1zjng(!e!$$YG1a`%+HDoC*EdIS%6-%0V_k-Zb-t zkKoGPU3~kdPH;X8_9UB1>Ot$>&<+229sB*Yt68(hQrKzU7thAiV79q zS4wPoF()y;dTFEAYV|D@dGn~obeO&0t=4CmrTnc@d7k)sBL87o+3;-fcDrLJ{_&j@ z>HaS^okuYC-rG)@t$Vqc)!`X{k_Q=ERWvx+lXiDNZ!G0T5Wcgjey`rnVI*t>WK6YwBpQH*^$_H#%OzBkB3-GR zKv<-@l-^u`gi!;?D+TR&#?B5h-k=_Bgg~>DUid*9jAgt+-%UCAQvULxt^&v;2TE)1VVz+=N+ z8x@IRmWv{{;=L}68X;SP;_sCV(s-YCX{xc;k_d(+C#O76Sp7UL!$*`dufQmZ#G28Q z!|oGfOtc9=U*@~y{+1X!W+bDs&Egp<(zUvo7Eml)Pc^QavdJb{QW5Y+&Sf$$DxcC= za!h}+CfeTE9``2sD^VsVq@ zW=YaXSZNic=f|=e^pnpf9jVS>>L)#_q`oB0|FV^Sd@H-043<(WXEqnuUbgY(hE3?S z!kXKbN*i5s+6Yi3vzJdM2$7P5&aFnNIj4zs5dL_M&JpCC)OSFI=R1~|nD|UyTwHvB z_c`Gq_u1D|E}-vb5pd63A3Scd=`471Unji?6ux_L%?mempFU&7NJ)Fn-XXtkh_6{D zu_LB^>p+_Ps%MNsbWu~bM$pxI2n{W1K$S&l8 zMVlZ&D;dwB_=ph4sx1EP`6+ekw)#7qs@x|lp)eq*q&0)Qs*coZm9b~q5(CpQXWKmy zp@$8ohGWa!8Vvt-f>UA=8?wd?VSyQCNfVgEVfV#^iJG6YcAuYK3t*FbjO!oGR*w^S zhWC8~KKaC8M@FB2s$Jd@y~yAy0T-(DqwDlm)OC;dJow*AwP)1%6tL_QJMaQF#RIv+ zUoFM?wp*u4nd=F>=4@?;K=TaM1!On)r}}?YI7wU*-E9>w|74Q{E%nSXpRNX|Z4f?2 z8*}wSM+2fZi09fxbj-KMN{!b8Vg^*>9jaLJ;&X>36rI$cUvw~ivZ?O7a+?{c@q$7< z4Z^Vk5+ZJy0B(CdxgHF)(@4n}C{Xf=iCar1c=_e-#F;4!;&dZ8MW{!AFmV8g5K_c)GY=Yo6KB zK>KyPRLbv{yOv2;_u}$2O6!iJ7!mPHeFF550Q~NS)^c>` z#wVjBAG`u7pyLxcOyIs%tdG87S5Bc(SJvkMwVqe+vyLdZd&?_Y<+aybK=5HLcq>Fg zoE`+9hVezQe*jvmNwGRo;}Qh$R6pZ@IKT%;Py@+VuaFRbA)7}eR$gjRp`G+F^`u%! zEDlPm3eST5HX4e}u(=}n5-!8kdH4&mwHVqPiA1;bX?T>g0KUYGk~_&&%J-I0Muxqy z$R`7{=AO=a6VL-_-FD54jMS>CsuEv>@`oPeqW2SY0KbVyFrA7BM}d3UM?kiFw}~qK zx0B3^b=)lkD)K&iv+6OuP{2yB?&u|jw|$mzUjVejhxXf6>F%p@bK!2X!Nl2K-A53w z7ZaRWK$q;J!_E-Msd zGpPBS7&Lh)glt?uONGxd?6Wo^a!bvnPl2m{*S?fU%#381PLei&Otbf1yjKXWze)hs zn><*PGJwN~0G7U;D~-C({A}9FL}!|O+Tzj@{?X4_x#EJE(lH!2(3_(@!ki3+^YlV& zUnke7COyt~ac>Iy5#O<_^P(3%8@;$Wm@NWM4+PwmpK}hU_$adkQA{iu(lPbrUR zp%Luaggro_CC7V}W7IKvGD2iAp;C~r5JWO3Jn8XjgROc!D+rWUn8@D$ z)>e0d@r`x6#KY^YYMV2buO~eyC(G407y^P3egGQ>%*|3ynPPV)DtP5XyH-C1yb`7? zT-bxh)o438?m0=GLD@zN$jx~%B4#gbJ>9Qht`Rt?R;xK!a<9)3cAM&#>>h}F(MyUd zkqbw&6C#b$E??2bCc*1r1WzG)eb}q{3323Gw1KEv=Gz{xH`%|n(({WBp5kd!kOT>s*^sQn z{&w$$G&+>eRmT2@ABLOjDGRM6mI?twS66vqq>th=3wKfOX2i)gw{z{LOWSPl)f4^NSDp4) z4^#~wC%fJ}lbyL);}WRAtyYCa5GiZ?RrLrX_t_T#dv}Rf$u5w#%zlpJHFEBKd(|FP z;1e8xujL0Cu74I-`VY8>6j=&mVQag`ealUR z3ht*IO}5Bry+kQ;Ew+@77v~!ljOoXEO^rRTk^ZN6ca?<^ z$&U}jcW>x&bUnLC5$NZ62WpR9O2$-U@;pnVW?sx1sD+SFUUmEKuoFp;*c?LI7kyEw z*E>~d34r1`pciZsl-#|*#cqs$0Vp;#r-e;F{g)k(5OyBP>?AoYtN^;xUh3WjHhLiq zpfnYS@NpSJ4pM_<-yxVV{br=7_qAVx8ulp^pJ$#jfW@MWA{o&`Y{)dx2HvWrzuJMy zT|8AefLPBw@EUL-K2$Q|^TY$4H<9)iOHoifnAPX1AWIZh?@W2@*bTBL2~}zrL1DM7 zeo_7buOA+)y8GMh_xz&sX8&X)RqQe|D4+dE@0|@!46l8cjXpPQGwyCs^*p9uJ(JR^%rze2f6u)yDQ&r-fo8xl5qgHOE{8Xr+@NCYRSq{Id}CH|`&>{dcE1 z^t33AxYINvL~9irsw-Gi8-#ytYCX;)xpT)+oe|l_kRzy&AddMF=0&0KExC>MNFGp7 zHLBI)cyt>BkBTrd0d0*tgz#ONL$^EwwYQDy1on3lG47khPA05xKiCd1w6_e~fF{K7 zVz6mW|~gyH*2jsMl%G4tNPS>}M~c^WF7@1EPCc;3$H#2tixhxtN2 zRePFhT+OM#z2(#+NGloF>*DJ!j*Pf6Jm5fTa~k9P2*mt$%DPPBeJO?Hu2>myQaQou zL1Ip#9RS`6#&7VHWJy}?2fYWh^E3if*YGPP(lUk-^;i6ki)i)z3tDb*aM)lSfYmu)FkyzC1JTXicHLiS7EC zSQw?pve1Mdb{Xxhhg}E9*iUcNt$-|lxrSj`6u5w>SB7eW5`*7PT)oY8Vcq% zAgrCCgo$4jut4{JUgzf&>OC%I-kLWE&EO@}!YVNW5|-@JaUUe&iZ&TTM?mjh(HR#V z7pWrgjow|LHB!`F_IOjCDu{<870vPV|3ye4cy7!iYQTszx{p-(r(?rFa75B8rZpYg zALT(`Gz1&O#89?o;Q9yk)_hM3U6AeQV2oE<^E)=Va;kx1Gb55CEt~z#1tq1hbKd<% ze-;c|WG~B9RK^C4rtZFMA_o{g= zU}ww@x?XBP@Vl0aWgw;Lovd4_5tAeulnd*4!90*o;a<46vH5?$Y#FhhKcxxxr(B9At4QCbw9pQD;HnGL&&NTjKGY4V1F7&wLUOz*FO zKW_@>d*s7*#Oq}~AZS!b82!<~$8*_RoBP8%AmeqaU^;q=7y>!Nkif)`|Ev`wb<6H& zeoOQSKf*w>0zH@F+Y5+UG0ZJ3G_F!`|1&pUf=(W(o5}YI=;{Zbqs9R_`RI73F|RaW z#mW5+Lzc5DQ_W#Jca$+p+UHrg-{bUtn>fQ)CaTk=t*=_4uFQ_LT(G46*TO<}IXk8Q zB(=vsqw4yO!!VV%J7oV)Mb|7FVsd<@H>v%Hh?4N}N530Kn|!XA)81XKNw zgOk9!;OvA>>rXl<)VbcXjoydOi?ibD{hI`_Era~E18(vg#^dKAnRX*trOFYv7N>2h zow6!2(l{FJ%>4yQOfWl~`)PljdRX=OSGFw1_+A4Jm!!7}>;p*9gDD8~S%N`AgMd$f zZ#}t(JIta*$H7&o`a&5uV>!#bSo#D^v3X4TFa2WyRKyN&LwE+f@BblNv!b{lKM)PoGL z-MO#8fmu(k`+`2-(2l+GS)?x!O2X!CCWj8^ATas5I_y81sp#(Lzc62ZSI4O1mepuW z)fb6wT&86#5Kg}9gJ?r=>aVcMi9-skj9s?=%RSYxD7DuIdl&Frv^RgA$4g^Y_4G}ntnY0mVqB)`C)vCPXF+JQAOjyi1_xSq+iO$3Yoxb?ps;O@$Y8(OI zhL_!UfBhIB$zQh(GfQ$bWmw&by{uusKKQ&*`_#0`Sg>dO} zd0l&u7?ZpIYVAU0d_mw$7!^g+Zlfy|XuT4Lj~A^XsI42lhdTWIUjF7Ptw(R41}PBE1H{w?^M;$*pg61Y12>#wo?rZh54(Ox}=n0<7W z?v=@Mn!a9N-esqRzL2vPeS08S7jBh(JVq{STXOlK&rgBtQOq2zSD+70y}y(hDi=9=tJ1oL4I{d@op- zHDlJxwd_W%&*29ftVGJ>z0nx_#KHK^d}W^&e>ch2>wU+QlI;fjE3H{bjw;#4qlmhr zrINbH-Ikcm`Vy&5s@00VwV5B7CpXH#F&-?qbt}1^j8h_IhR*Is6UE@W!pm2s4PJ%W zxHsF>?bkC+CW<>HHrqr#P&IwIiR1(%ZKuXvQo-KS>*u2Hjh^5ez{Rzs6niEzdbQIs z<}u~*z5fBvEYJyVx24p0CeBE)QE)ztdv`UFpb|_pTJ>GEoQd%!P2s zxN;3;u)-UTeG64SDPi)8o=8jgPYjjLL**Vj{rPRD8+%B_(*ha8#Wxk6i!#8ah=&c>oEDn=Ndv`Q(%Y0K6(Gpo{{fsUUxL9zYSC z{E2G+Mpc7B*gZ5o++676w@8@PYG3o;SzTeSVe+%16MFVZ9EzcIyUEyk>*G7-;I~gu zjXuc_+@Ii4UB#MP7@0t!k9;ndNWH{|QY5@Q3#WMZOHJ!`<=Ban2SmKJKszi!)XsFt zw+i$%_(ZQ$h8_jK7`YYu@Ya)d8@sKeA|;iF#tAY$T!-^ixyg0j&n7w*ZM5)l zi1yz9STVa`Obqm}cTE23%EfoJL~!ZV=9w&T(PWn93qZj!)LNjBL+`7YvmA0es>ETz zU7Pw@`yNF=X&&O+dKzRS0b{QS3zS^fT+ddhir9M+*-w>zc9>-GseY5gH97+R`2Kl^ zyfAV_cGv4$($ClyqE?k?0V4^WaBceNUP4-x1|zbmk;{f>4!MO3tet?%mvFfEJqi){ zqx}D2>n#|f?ACB$DN#Z|q$MOo1V$vK5d;JUL6C9)QR(jHArzzql#%X|lI~6!YUu7x z>4vk$cklh}eZIpVU{*Y9-S<_?Yz`s&tMfzS+?wHGqL~KH#;+cWM;iuF1PH;#5Z!SH zVejHu+}=-vHx7K*zGjqgW%XKY3an3iocaGIb&TrfM+qT(K5 z{9qy(Y@r8{^FXriF#Zght0^`dVIc>nl6B)||{Yiz5 zIKz+@j`ppP*1qpvz+W0<}5*ri!tly+CoioOUSLnYF4r^ zgKM@{AwjHWZ7J{zymc-y8&ohUrlgC>ZzLQ!154j%_+T2a&j37pMStq6<@!)=0YMJn zfbEu|3{7M?ZCODlUhw61it=BE{;C)op>C0+hj2`%YulntIsKjf^Dnn;g(5IPpC@c92lqZo^#7?nf>H;+x4%rIGrSPiak`a?nZJ2{ z?bWS}!uJ`D+f&UX1vcf2Y>{>J@DLm7J-c`g`n$Yu$`tJ*{Vy}c@i}I0 z=wI#wb8Eo;NU6ykonb`;OdEc|X{E`SJb0KEuc!sQ{?8S&Bq@JmCH}60QtXBctJjGg z+<+I)%*9j}+Vs?%L`t~#vK|hWX%7V3Ra{lKzKRq2PR^l0?C|7seu7^K5=c=>Zt9~I z1dDa&8~R#jJ-6YP5D*-Laww!vI}-vhUsnA&b^0%oa51 zGP(Tkjvb-bf=NMufU#VrT3b~iBRNwLpIR`5Zq&L7a%vW)o+DaYFq!a&jUV;nhXR2| z8Vh2-1=C#wV3aw*y85C+!1EP94Ln~92VWmYqn4)S|Mo$~Lk0lWrNTnwKWbPc+wPSk z6++!hm}LD6bRm?>(0LXx)67Q zC6gmRjr~Gl^qz*6s+S2IXcWSo`2p^hy=oF>X}ts|zqXZHIV_{;FGL?~%>ETuB1Lp0 zh`jmpd)|0b}B?C|%wyYM^kINF}BGkY3* z%^~3Hw{0AGIq48+Wnpr7^4;Jsy(SKZ^f5=wDTk`TG1~xm-t_^y*1UVhMT`Lc4F6GH zj^}2zmw)lytjtDvbVs;nA@kT|m-O(aB z+CUR(R6|0tuq?tTVWdwM2Ln{R~XJiGV5zamO~ zRct{6)$O4$fi$2MT|EV{=Y-q=N*<2Eluf|a_{LjUjq1Gltacci2UnX>;Vb8GNUOf4 z{iximEUQ1B7PfwkZ#8gugpb)=4I8K;Zgb>4H3)O0{e1%Gy!eb)x0&esmUt#hjNtYk zM*B@;yN&#mGpe}LwaRNYR6ap+L)R?~C!lg`^1Efwa$CsTE2mf*ezOP5y#^AEVHX68 z6gJ}ej`R>pRoy%E`BXVJ_CSB1df4(zPT9yW@dWJIiye1bd{#ybz_Us(&Z|Ykj>@>MA+mcAB8Y3?{FubOgvziH z^e!aGLa?PFEO4D$Ix-T*iTwt#`0ZLUQTbI-A>tUv#L*ytQ zc(2aZ8);$uc;9uTIu!=pS@MumEl_c-bO3YXuMv6PahvcVNvDZjQG&HBRYgwTs|nov zg!zZ2mSvfnT)h`3J&;A(t6BT5)q9v{I^Dtt+X)gYz+|DRe6kxCK}e=i)m6pJxa}h% zv1=Ruu_9-ST6J?1S6ldg-RA}?=N*+-y#P zo$;Sd0)nM%x5bXv)wA?g$9Wg#LhMF%Hs9M{(QI2JB}_n$MX^Zrc&FlA+8RC^dxjI`t! zhHc5=hE>#=Heu#H8_f@m&y=vO1TjhU7?1E4FnSjzbAgt2~mz^Z$E4szdmDAecD)= z4$OYaY%ra5*m+{MjM00H;tJG{8{$5L|EjymG=E2fgXjaa zx!1!fKm8)3oN;>|*cH1ZrD@KV8n_+!2tzLv@c3}Pjytninv~c0r(x}Gdi((iAr|q| z|5}8uu`#1?ht~SV7=U$MmKpVard|D#^t)m4>ZE_$XzUNFczLUxCcb3v1|TK$0I+u} z`(5!tgLv{F4pLYp92~Ad&3{fbE{z@s0vr84%0GQYh8uqk(acw%C$g zIh_ZsB(#rQ+Kj8BU$MB1usc?f!XsTAxHwkxDW8G5Yw$EvznOel9^p0&jFZ-aqr5ae^yArYF~6*CDTrP`W+o+V@%|AA~K$Cv5qn|E|iB`?5-VEx_NJg_54;xuV9>DH21#W(qd6pyGpijnl zv>q0#hWa-xJ)A#5LMD{pemL4S!_A0jI?IXv{7>Cu;u3RM^S8>jJRuT27#0>-wVl6} zIK|3}i(rLCzYrHHi67e-?JG9+zC`c1BGAD<#^2}{*`jj8Gk6DLg0SLVH^VT{ASNQA z50eJN98uMWNu@&86EI!!;*C)^i)ofcd##uT3et~rYB1T0OUw5xViwgA{p~(;Lcp@%aFe!mZ`aklq^J~-?CO}gtWII`D zU;M7Ydt&|D(lzTO%DB_R8wPxJHmYIB!l#L`tQJ{($uw^sbDn5cseY!bEAppHn10q4 zl7j*7{xk3w7Aph))!nkM{xPmnf=aj#Qk|pLr}pfG$9TF%%g{+CX&vyC*vjCThO|rm z+wUSO^*`Sm8p)4V!D&g9(8Lh;^lgBHF0sR&s@3{pFk4*;MM+lw`ThQ!{1{%hKO7`+ z@Dac1)-Pb9xx|3UZi|<$7;c8snR#q7Mce+yA2?|r8aVmF?}^+gzfY14gcbTaV(a2i z@#z#`gCJXvwKYEp*EnVR`+Q&OOBdhpH{jk9}63!Zp6QM?ZVTs7+Ocu9*Ltq887tz<+pE@Fhk5+a9 z{CQ(jmZxJ*W2ef&S}4d-=jy93+>K%Kz$X~cgb5G9q|+XUj_agAlY7_Q50Pj6C@2Gb z8TqGsalF>;TtAJA99s>^DvAC@^iPrjv4e1oQam_MLagY349lt7peq{Bs$krrN>eEc zvh+h92D=Qz@MGL_i)h1@W!PyrAs%!&q^U89xq8k^I{i@*^mT>KBYJ))v@~{9%~B1` zh)u?}Is>`JPkaW5blJ>jv--gdMQ`RjdFJ){yW3{?8DE4;$?6V=%#^+OT?k zU6q@k9RWFZcUBb`{ka?f;IueEiTMR*;iuIo9i+@WNs^c?Gn%DMrj&cBIGHK$S#VlI zoy%;cS!?JDaGj!4&P1)#NNhI@pt1}3qxva}l8ozS$0-J{V)&nEQ4srKGc`u3&z@sk zzt~twhjpX`NGO(=}q5^bV1bNbmHam z)~mMIsJrmXOLr*wg_yv%TO+R1y&pW$QIv&f>TbrS)F9`i2DnRmWliEGJ%Uvk3h|5w zr}0w@WVwrI#hg;u$Y4AoYL0;vD@bLOo0X!pSf#_J)@78yFPon!GO!oj%4FVq+Z$D_ zvJc+yr~rre_wZ}&&4T0agdgD@WAGN%j!t(f?F}tSQ$)0eeMe)m_pL|yez$3^1s*eP z?oIWK=?{YdO3i5NZg(_djSLXXNByjtO7ut(?q%&6d-Ry~)d>=_(y9KY-Hh1g|Mdm` z7gCxt!NZ&+tp)+8G^E$|VbGhWd&fKTS?c*ZixZzQBL)>NV=Eg3#Lx8@IAgZ01igM^ z%B6C`&Hzi_i>_YG9~ey&Kc6WSeW-~uJ`plz@#!@NOr3-@&@{HF>*csx?eDil6H*@i z?`G<~hE6*DL`8#hu+HP~p zZs3KT1s^~Cx&6K1ZShY++v8#tH$5ZKcb_D<`{8AH#v=!w6I6Uf37`mq?wPy<|1$4 zB4qqnb#G1K0AvQ|gBt!$X`H<`+|9nlRgp-m*v)^_r8b5yC6~uUSbLHet>M z;!tx|OP)*##V*<$Q$LlZa#|gfyW+1PuSqE)(Pz~%qy4Yiso!vx6{y4v+AzvOH;qQf zO*@w9LRRirX(3hXb|*%Gx2NQ3?syYU)ZRmEg57IO!Qdlk)Gx@b9c1_eSBOXx3sS2? z2*hcq$6r;?{<`>;v5Cjcb1w2{cQ>0}cyNf{`Q4-S@9=f(DD}eDQTj}lgLgqpky*t= zdj(7pfm%zC0iEyDiR4`en&rnp=u_aF-+#sVpkeV5D32+?Z9U9U5m;-pv7Gt#Y+>xB z?N*XGm@fxVNtz9rT|+pJWAZ~lkR8YF%$WfitSVQ2FnPb6Z5(7dO*o;{cY|!V|_iHf03t|A6!kF$wi4SdAyMBrI!=MnQ z`?BD4{9gFZI@q-}%5a*+)*RwKFk;oXY|ZqM~}Z3CGLBq1?)2U@tvQ})Wxh&WyZdsc@LeP%c_r*E=ELg)!9s4uc+viOh&G#zaj+9 ze!O_vtQyYrC!&*9?7*wac;N9xR+|UyduozSNsOX9GYVtWG&HN|5UF8ZX!3L$I50Co z2FCvJd}#l;Wu3y=MiG(d)%jkr`8fZ&?Syq3%7;kIXh?!_1y~!f8D)$x&zU42t8jx{ ziSR%71x%;UANki}f=fH7h0h<-G*qMUsP~Unlc@Kl*|2|+KZ}D9bO}rG#(7t@2#*)C zHxcY9{F$zPRjo=CZ5zde5Y*+S7twJxeRh#QzHL#tdS7TYHDYDPhsZ*0IF#1-Z5fgB zXh=thN?pn?_i^(w6i}FnG9fC=^H*MFv@bFcac$T>`t5gLSq2mN#EuC7`3oP@3}_M1sx^(xEK zN?X<(kkh)XB-wR7Zc~B)xs(NRIR`3i4S{{0aI>T~4DbqW=Yfe!S|*WXuawy4vR`E>7# zU_7r64`cO+KlJqfOkXA*_u#*7Ki1m?<%R-OoTc&TRUZ5hoLu*x!S)1yQy+mWa2LvtAe0(c$6EY}iopJS#qu{>&E2N;ZuWS~r}RN` z&+4Xm9_NZ6O~e&CXg1XAijgruD=3KQ-~HVaIrXB+6$)^%U-_X%(F>reFK~>h^J60D z7)x}olZTvZ$&|(Scf$DsE9aMuqnUMnKK*agr?2CgQt6#$yb=f5*W#=iZ<603vIS6T zb{lFF1V;Z$%B%NrC=dTKiSv5_4$yJhE9}y$s2Cr6JyqVn113hABTu>W;RxVb^=5W~ z<}t@;45%NWCGB+8w&mi;n==t1Sppo2L=+p>l-9EJ?XL`2E_$2qly{=0nPfwl6{}hV z;_d^T>G&NBkKnn6C_F^Qs{{)L7FSj0ug)zF;VxXQ&c^gr^Y%if@?~~Cn-%P?M^flG>5b&64%C6{TPX|!Kqxw1gUJfL!T&&DRl$|V@0y72t_*s5 z-442M9c`r8j;-+IU|JVb0rRl7FY?LUn`=ZJbAt%W!bJ!V;V!ANU)$I5wYqJr0Qs`~jE-@gpO z?_$tD){B+sp%5sggZv@APoSCcM9RE;X2+<4_X&eHirUCmIEEP>GLIp{x7u%{vPo(w zb2MSw^L;OcM`FpRKWN03+g6J>L}!U9AEX~a&3Zh4$)@5lXOpp``^M^aV}qUoq7EKbICnt%;&E|T z5uhVQTm_^)V8Qe3)WRk1OZ8)Gf5s&H2M|lsK+YZgiVpEYe47X96lAoptKHc;)XkcZ zL?)t*@Cod8i5GVZI!~Mx#E;EsJzO&~ako?I6Au?6UWL5zelml0zIRBRfW(J1KYEWJ z78Q%+??*aaD{t7T)m6=S*Y(i|=)l1^lW!RZk6~ z_fT2p_4@rHuSXL=9oh>LiEP)#1){oCBRr7~ErP>G|7e&ndY%XB9o{AE6Q1C~R_@9X zLf_yizd)gQ9S+-AXsgFUQ=6oa(;up=FZeA%Pw*yRs$!Itte3XpuAHa!Bi%Ic4b738`EI}8nCXCo-BY)=%+6%?aghF-fUM_E8)I{}|0O#xJ zcY)Q#Vs!#Ugb*dH%zS*vMDev{*45C-}iFEn6lwMJ}>kC}5 zWBqrTkE`zjUudOt{bDBB=NbtB$f|evw(#}xN~U?U&0IGc2u5yDG@^sK6%uOkr*bq3 z8Y_ESNJ25e?n05N73F&NkCj};T`7fD%*%OlH!YOJ2%xYsbfpO54Ed%kFJeOJb7Ey9 z)Vnzm3Q`au7z*_~Q9npi0{d}#zum{q?8s+TMf(=seBZ<%$Y}3RBd``UaULzy2g#_j zyC6v8LrK=w9s_zXw2l)`q2nfcAQ~&p`nN7=1;mw<)D|s&04lO)lL;ChfQt0x+6Xm} zmMCaZ9)f@=n=~yA_(dn(H%{m$rlO|||Ko@Uc)q{EtypAJ_Si0-$1vo;Qp}0z2OvDv zp6T6rgDh_iF5!Ub>j@z7${QAa4#g5hIIef7s7)z8inT48S8}XceJRi5GKxOND$vK6 zA5tJ+Lq1_`%;z*x?1=*#@pTvxz1T!$_uP6u%Ztd~wZZJ%_53i1N!H{=&X^Jw=En7a zNZXUcamx(X?HYtd)h53=XUYRgLoEXEo(MBC$>OlBE@GRSnmQBc{)f&bwSpdqm_`y# z@cgI$_>Toz1J0E2o(sHbGBIO=fK@rTFVj%N+5`j?ado+vTm)->0}m@mz!I#&TY*yd z1!uRiGnd6^S0fvy&G>uu^K+8!1?E3nu#rKVdj&(P7EyjHncdo}{gb!&GgPkcGoyor zpQ2`VotNWeGh%J<;=ltLmA9o|!{p2FmP)l(+*NyOyFMS^0H0ntBtU1!eG7c^S1F;v zN*T2L8iN)*R?&Q84VB_Y2Bn#aAKO=fa2X~W!PZeP{1(cV&#RpeXG$DyK3Qt0kiKs_ zusi53+kbQxBCmk;U;Cb}!fpKn1me;*US3qv-|me$$w|jT08O0s&P+U0kf7EmyNxE@ z(q73Z$ZyeZkyNbZ(_ z@H>7Cj-pdQV&`;$AT)L%c>G0=U)G@~)@l*%0l3ZrO6r8HqWVMSDg%LT2+|b{0}hC49l^$#^#p8a#Zjn5;q z)cvrGBtoSwDjyFoW;f`2{Z=GtR}4ckMm`fzC(XZMb@K0*GNSL0P4C4xnGWY2ykQM! zRIXnHQYO@A3LQ#m#}0mr+7knGYTP>r+{{~jAl)fm?Q`CZa=6h^4385U=C?IS(G(@Q z(LvL*(?GEg6bBrZLGMGW-#D6ifFnz8m*}iyJ{5ENnN_?%hzN-hyLo2J;%~=3-P)+$ zF4LUDIxSjl36PaVA1&f+BVD)LlVEuf#H0h7DYPee{td%#+z*E$9o10M^vR2i{z?>2 z&eOvm!eWDj=Ns~%!JUu8LA@1_s{#?^)A{GP2P64d?sR;RI3QA;Ux@Js5%wDSVjVKh znCAZo3Cx8LQm7v|tk>bSZ(i7VBf&tDvBqapz+{gl3KK%hxm_YT`a+&4PDwG#OO7!o zJ2(1gq-D4tFu%2=r#6?r8+~MC&=hW9g zIq&v~pOI6jhuwwYqg1*y1?E7z&Wk$POw+RqquvI4X=;bT0x%IK)C8^p_#jlZmOnDEqocTEfd1Csjn^25wk6NLOGCNFe8$8OwFkYa3=G1U-!oMVi~a4>4l6cCd*nd5tA zyirK=aNlUU(EKJ>XvSlER_Y{`+i~R={$vBr(}%prUz@^>U_9okK1dPbTV`KzPceO{ z++c4@JH6WvW09a9=MbMzA9iI`zJ`d)=s?t+>kEMxs@3Yf?iY4|bYa~yfPCbJ(Lp)! z?<<)Rk*|vXbS8vHY4q}vle6|$G@C}|Ccy;U+iQ4>F zw~7L4F(qIU!$}Dr*EEPi1?)wJ_ivM_p>s*jyKBno06I}1>f3T zqkwUN4lh$6zq+dW8|3H{W}zLxlZ(%Yh{@hsHosYIPvXWl`(-io#Z^q7z;U|FQ!N3E zuh&=0Zrb+^g)l|BM(#RqKasZ-+jKhdzp5w2T4JqzQo}{k>6qh!25@zSYKLd-e2a2N}`gVK%77+5 z?;c%E`3RIaVr(3j@9KA(Q60Z)zrP=y@|PWG?bd5t*!oyN(Zo!HkMU|>nl(R3%w}SJ zBo>1cQ@sglTG>IwNH=9eO0|@dwAwPq8nBrOCR2HmlmBvDZ3 z1*MRkk)S})>BCfnxj^~K^=cNIC`;IrwI4(kBTH95uOhc&Yjw*kXfF=(p|rq9wdE$X ztin2_7yGP=!p5Vuo2BDMhZ~~+H_JCwBv}KlrWv;p6Ctvw9>D4qy{>I{v_S3Xu&IuC z+&0$!nFg`-b);7<-8sw+{B8??ogS_zUZuLfElG+n2@M*uYRzpSL~JVeI8+>OUx5<(=TD7i<(qh5n~t?a*h@mSDQ37W`3( zNffAYN&D%NR*P7uP*I?etmkWUQS563pv+LLhGI6KT^@uu2Z3K?xJ5IN9p2V#V($*AvGS6>v7#T@@5 zM}BABauXBhCp(lV1G8o3qmLbvwAmfI+T0gi&i~-_Te)brMx}zG)PA$s`0XI$OUV1s z8sxecdtA8mwCk5{ekX5Xvl(T_2^#M77tVcAg3CB)XzwAv(zwW2XMc}K``ktJFR;?z z4S*G%h>nb0ZRu`(c0S+CBAOD@QC~Ip9p6Tv^(co7SW3oHSO|qd*(WNCPBlbhDhfj8 zch4G?tAQ_!t2RNAmVk!Pt??#nFX-uw01fW%eh%;_VfR0%w)joek0aJ>S+%T55NGCS@iNnE6{CMI0*g>&)SBv8{YeyX2?0!Wz& zaj^eXl;oy!{28x%V&*s5OXM7R;evvkGfG~54hdJ2=J&fRU=jN&Dk|*XjC_e#irHn# z65K&PN*P$RLR^M`Fy!x|?^Vp=!fZDg!Lnn+#8o>A&M(@Q`}%l1Hm*Je{gN5;D#%1W?h&z$c!Jm}AHSdDW5GtNl zc~kv0Yjo!CNhElp$kK#D^o)!gR%ey(=%Y_)X>gAVWZ{?`q=s+wj9+#x%l9 zQQdi@Z$!Ak=joNZ4hEvuCIqhCizI2HqT`V^kB~qV4-)Ge*1RF?{;pII<9XjXrQRI_ z00Cm;!hgN-()O%mvkVmBCn046Y$bOAA``zlkW30(}}<#_^H$pz>r^4weih4Sg@2a#=#>J z-zkgt_{;&)^PWmU)tzum5a^?6f&$G2W;kfR+A1{)rzu>}X}#K?8&A6sldp)xJ<7yJ znq{w8{df5e{TDv@PxMRP9lXHorRJvvlI+CSd#$u(XA>d105d_x5bboo8K*+ z9LjSh5`DD{cZ5cB$0>AGFOPq4B&77E=Q!9{z!Nng-HOU_PN*Dwu$CV^s?4|)<9M={|LEWC`6jvX|(w%0xq z#OJI;KgRpyg={5YT&9(?;S9vW3~-$*j@x7NoE3a9Q|w^wwn@7tW&yjYWbmWfp?}*R{PjY|1B=+k?O0T{$b5Y;11EuSRXH`4Rmb#5UJ|I$bMKgldt01}z&R-S6@k5QrWO zs0<`a<5zA~t`pol00sH}Mr}f^jXz%1ZKDn5e3BhbzM}HZ?Go^2?!%{=Jm z3d?>;!4F#>sklnPy9e6Y`7OU7^|5ZJGNg>W_7rBAiS~>r=cv8SN)UyFS&2@cpP!FV zTC9PmB5x2PA(DC>Uug`?#hXw3kq3(6EJHtV7L`@RGUk@^iljAWe=f6iZs>orzuMY>Wjed)ZQ|N*~AtqWc6vc47Xj<(jP-ZbnJ-6#a zL`^lEB@%is%Bj*A-+GjgrMTd>XL%4`5;n#cBr)Q<#uqC|c1#D%;BqT6Z|=a~X=!QA zx=}?2PyRG59IyKr>}axIqke*Kt?9$i&{BW1{A8#lU$#cdaQLT8&v~A?;FZ{=3r$JI zk-|p^hD#?1U00QZdz{wUuAuXb%$IfLAamPnangbIjx(04v>Lx#eI*p>`IhV zWO$)VHz7dh9K?F|=$x^P+_zbecqNw9BX-vL?y7}CmlzQ_QsXKRXWK0(lWUOY)s-gy z#Pm2dLNWR0o9x$M@iJeh$*UH;mlm4+1d#?^omuCasS8KF&_su)1G_nvHPu`p_nb@e z9$vyYDH!+n{~fpDT;T~jVu)1+afWaD8Sm4EzO$ZRIY%fb$NCF~_;QXH}**r(E_Vduhx<~BGN7lqTSzI{b?_-gryac4&=34shSSKp|5iHQ3OL6%{0 zj#JKsetnG|8gn)yR2wtY_ly z-RQ7s^DO5;Jj$tJzJ^{CWSX}D12Gfvt3;B<#{v!=FP8#Gz|$5ai0=A(e%D}k7Og(N zskvEBf^hZ~EUT4C$+vv!J{~6}Y36Yd-`(1yNB{6t^kG^N4Uy8(=tcR^#^-c7pJ0+ z6Nc(WrYVyz>$8%?>U)GqLwYcFs=NQ4xE;v`+LTM^cb>dFc=S ztxF(*x1{Yjj^ceVe00$!e)$x*+MnK67`M)g;((o%T#Iei7d|^zXkE{T>ZaNQ$lLZ_ z_*+;zMY48C9+R;gIoQ=2T8Sg~EpA#1*34&jZ7{&3;6nxljmkcrKQV)~osQX^&KLA+ z6YpRq9FNg9vLQUXDFPnmSXZEazg&OVJh)2qK~jl4jD+Q}$5E%`=~)$f2To^f%Xx41 zi&Za=TaLePOXh}4Jk)b}4JrI=-b$Nu=Ka%@v9c7~z5o79IIa7w^gMnYTT-8;V;>=6 z;pd8u*2zG%vu!l5@ojBjjWy=WS7pVr7JOqPencA89ZROGs=8qGbsGy1nRM(G7}lf0 zu2ke;5MLXlWMhaC_CZ?IrvzXJ*-*pQi{rz=7)nA4%l|Co4?;T)ubLG3=XrCn*qm(? zDFT-ApGDWr86CZmBk8ZM76FZg+J^t)Qt6y&gn#;n%MO+@T7EN)9J;dOCLbqp@K>r9 zD>h#W9`s_&wsi1FRaq)*KVQzz!P7AxD^3EbqBP=fkzG$(9%>3J?hx|iHL_;ppNySs zEWM@hA1XY7_Ck(oq=^nKpKitsDW=C{l!84PfB&|m;rEdmICsMJJ1-Ms3WZS%x0)mq zNw&TQ%B!Qs;>K46ILAJ%f00a~xO0cN?l$c?art)Lm6&qT$TDbqlR)ST>zld~P)@5> z*ys;`SQ+>V5n0Xo49^1+B<Ysh3NI*P8w%++6uA|MwBVlYSAdf@Ruf;f z^mu1OUu3H_tVJfq{L9>$g4oZS`~qK)eZA=)DNkkPN4~9LTWe-neaz#5h!OW-hI4DLWHh`aR6IT(v-SQu2%#0G z)?}s?vTJW%e4yVwgfDGsAV}1a%uXNs#-dw-oY4+c83Y*WZU%-;92&w3pW5_DH1A4o z@EMlGv{87ooarAZxV4sc*0%WkumG0@%{2q-;m*OWo7+nonspenAKUH zb(PR|dC<5H>t?(@Gqu<$p3WJs4aEy6?Nx{?N{g-A2_nB^o=$|YOj1U@zWWq^-0RiQ zGRB+Rh>Veb!2y;g&qbzFoxeww9+og6~tAGUJ=1~S< z08k+E&Iq*RzK$93E)TWsP||X)m9Ef{#VH8h3HCteX&-EkBfvY_KZ@gCuKhvl004(} zbIxW>*{^(~6L#C5xUR6UF#NRi=^ErAt3&na<-*Na7LeMrZE=XmDmy4Jy+&hR7k35aHrK%Y_iCSYw@iJ? zIc_XQdNuKCk?v!?Cr0bGix@~eT%?QSd@FN)R$E;Bjhff!-M2b<>BPfOPr69eYR4g; zi5?wExI+jfol)kviCUBH7o)qfmv1(<@!tL{mr;Q%uKd+yS_mrR8T{k7~v)`l75DOmb zGu7U*7x(9dj89H{EsaK(4tUG;&v>K4j*L@Xh`7OX2$g`xC&bk-TM~z{3TZrF5{s>H zoBd2dT@nuhE#fn2#6oW??M!Kw)k~nq*sMRPRz!U%30GsfMYa7oppHSMrhJ#_hhE60 z-_@_#5YM-IpQZ6{@GeHVkReX)J-+J$s+(>nN+=-H*!&VoKDzDRtz#SWZ1c9eg(2&A zF^^GeVSeaMr0&v&&0ghlP(OIz7eyREItX{Tz5S{D$I8=SHN6;~wBuH_k;R=VyVds} z8v-gDmZFgk36EcWYk5-Iio?E6kJqWsl~Z6-g6(*5#|_ ze*?;7hV^JveR1hI!#@xbMx^VCafhuNADvLpyja~^S&vks?X$4RQfx;{Cx~)WG4+ zgfc%qxE|16Op-oFpEdIwFjf`vCddTGK5{aDSXFCmQ(w~U&SB@`{Z69XJMYu@c;4*u zl-ch|h;FfdlD!l-A}N`CW)9cmdGx4<(f~Ne2MYCH%uhQc?3@uJ<^z!i4&GH!Wiex2 z{vGc>QK?rQ{8%BP)mdwykXgSg&b4U!&}rkRs-oP!vL|{g&`i6%9Ih4jgTxrQo* zUgtC(%HJBMFbRl86f!V4}ca}!7 zPJ=uch5ypJzkF?A^`<8ckl(72qv@Ug2{rvYNc3D_|BOfZ@$HJv{-_h7<2q0bnb^K)`I=E<68ho?wCO({q(b*uXNn#DwWhs2D?WDq znQiiKp|WOvSQB=6=O8W#Y?bkK@surF`gHd7vP403k;F#>cSNtYhZKt69pF{gY%a2C zJ+HIyxSBF3uxtEu^Rd$Tj2Jn*;-gF@og|zeu~C@a_2|%P&+vT049T;?3#*>IzxQ(OIy5pRCQ%7P0-mGK+|p@;SZVOh}Mf3bBOLNRRkJl z4P>kLI63$noRETFnos-%xl6^$;~iAP8nuHO5(Z`c6jh@)5jMIoKGdUXc;-vuS)Suj zZ=ICs5j3Os2OFH)dp`J@N=pvX($b~3v`i0i#qooFJVbA7$nxAf&uRJTn${5`bm~~< z_c|`T^g_*ITGCeCL%M0~LVjHEc(Xibdi=bt(5_pJzpX(IUfOChQEvS$Cg!aooL{_o zoUQiZl<-*%x&F9MIY*3@&7uCL=x=AQAssM-hmu6@?o5eukJ`Be%DLJOIWxJiF~e_4 zz#|DpaQ8-#Zk{(tjb-b*rdo)gn)mm7i@xRhX_Ldpp32YP%mU}(cySO6I>T6dy)5Z+ z)#2Cwqw-=v-oY$QkUbLhIumF>7V`Pk<2d7BjIF+-&o@re~PqW5o0`VlbC$Rz%j;E-2fZ8mHVsRRYfqLv zOmwT*$lwQ=msSz64bklmF7w$vtMMU|;Tuu4UG^t6xi;7v&H9IkBTH+ImJd6cIy!W@ zIyKQU!PK8|PvvfLgHy6T?D?nT@87@cS9?GCb%gy?6WXFge+r-N5r6lRLxpND0rq?B zi5tB2ED6`zyez#pZfV2r+=C%?Sy#EdW9;Xc@nV*@9k#g*D{of$7moIM*;e*EWwEZX zLfV*I4Y}KmM%5)YX1ApZpW5u5EsfLepBBDaJ&McgEUyq&&;ZYmt={DtfZw`b3C=@7 z1oZ8jlC|~Y#f1eW2L}fRjD{)F8wOcEV5KV%3u%GY%>FeJT z61FwG>rMJaYE?!totyQ*>me4+`U02G=%)5iWz4xm9cYzE^R30!hH}?kf-840%o~{! z!^^9U)`tfi4!5%ua_<-aYSV4>x!u>k?y#AfrOS~nZns4jj=M&P@5OrlLjsKHI(kgt zj5i=mAAdlM=;ut1?#7+78LgjfRP6suP=>{F&00`tV)6QiOOE4Rk43v5&`}|Dv94Q? z@4PG3F_f=sDU#EfH^hK%a&9vC^G($Mq3k`tvFzXfaVsNbB(gG6l(>at?{-<)+(>pd zWpf#cLMqj5E6U2s7NX2-SxFhUol&y)@4Tw#Dc{fM|NS2S3E*wxvuy1exLJo zzRvR<^yy=PNJyuP4|#h_?3|TFU6|_hi1i^q$NHXk?n%YUpYysl{MViI)~ttcAa^dZY}Ktl{x%!840Xf(bD z@APAeLOSsUk|=6+u7io>w1jlxOH|`~lp{^1UR@hJLQW%(RraRek7kHhq#-3d$L{r= za4+Ktjw}kc;VNp`=kfuhNIP^=UF)s;eCLdqj2Kr(tRJ6{3s8+Lf*bL|r9A1S?`L0i z%k0M|xL+1!N^{x#9Gbv8e0ieoGnh76pi#?B*KREwI^Lkvwap z^64(^DpaV!JJJ`D)|KHo@u8#j#S!U*N5aN|nRcJ^Lbwq<Uw9){N6JV%Z~wU; zBpHcskX4JkNP*ms&8sx##sisgZcJl7+q8!axyuqfaWVEZhuN7H?q5JXO5VYj6Ca{n z)k31fCiARo-^Ug{EEN6rMTzdffi_};`9})^dr};z_mM7+)M?E1i?8b5>LbPrRgXGo zUtJ5MaunaZmOdJ)v9XJ3tVT1^#Ja?H@W$DF-x2kv?@#((3%440Q*Kgj%EK*Cu_5GG z0abqPY6b!4D9O4;(!xe8o0QuLdV2aJtUaGA?c3Whjjy3`=)&r)+B))L`oO?qn^Eqq zoWX8oA-oendgr;Fd!-T@9v|<3_7@eHrizW)jv2_?T8B%>@=fZfz>~ac=P}eTayf%Y ziWb8Ch_MS>eg!JeLEmnSU%x(AOMcxjkCwkY*=zFgjqsi)-kuYy;n1;Ww0LPEtDM~z%?dOK*I9T&AIrdtw?iY<}OjA=&IUw6aC!!k> z9t6=#ZoS^?6{D(&#EiU2*&gNdK0?vU9}5uy={yIvus3*wx!D4ru%2efzA{e8SHk%e zLRyvz&FjRDx-TqGZ+~a@z8&J`Lz2bcc{fboo&!^QB+%u`pHF&0%3Ld7c@F)gpr{76 zs)7jJ%NLWi^4w#A>dCnHxW*Xcc-2QWhGum7wDGL9owd)?D7vuIE-Qp~UZJewLUxGm z9^-+Qo=&a@z0wi1fz6PvAUfx3m4&7bKbBQE98tnot=l3e<1|7J^Hf!88=EWap_hPJ z;>J-F2+LZJoOyk)t_24Z99cwqI58PM*Ms@D7tFF#uEgB0Eq7u4 zcus}>%{l7rHN7y4q3o$NEc?n7LYVPCK3Wc|b(}fP9pE()yNOX@LV860w!0(!*hiTl zhA}xgW^kQpNlIqnw7n$nL!%qS-hVu&UrurO_;1VS(z&t5b($CNl&f}miG}3-CzVdN z@tcPf=47?Omv7BTTt7AJB2jVHTd#lJ%AOAC$Z;JR^kLRMfnx&z`RpMtv5G~VdN2Z0 z^0O8KC^#iJEq%R)iyk&VMPaYE7tf!#M!NU}InhH1c$5#?sCr(e873EOy>q)HmCn z)tAlaJC(GH**Ce`4r7|w0g2Oh&ewQaNY~3_wM3LZdTNA)dRBl8SOh-V_eTbf+-6Fa zuhb?oBw;o>*xsfCD^VS`WF#tPJwaHprd^ zVyq9(6VLpZpEn_vlrC#(7JKg*&c^Xnlu!V{=k6A+bD!bg4bJH0l1=h7urW%yuB%@d z7%x-0T-EPcv3+lAqu<73BYo=Rg5kYJv5HZL#lCdVeV;l8dH1KSa19{1LEVv+?O0!Q6#Mvo{z(D3MT{nWX9{WP^M zz5L_>O2gzAW&@i+6)9xnZ{$s~XH#Bm3&qxcvt`oQETRBpSRGcp=hDTCsl~;SPELr^ zQAx=@Nt*)s)7#GlvMLC)*sf|l;%WM0+^o|ufv4=;BWBu=nx+!>k&C| zEFAZJIgZ{%~H}{ra!S%oY@e5JGEq=a$B8D zeN+AVhu2#Iuj3RxHDK z%11BC^@MI|EK#3Pq`9k)z`5vC&nAA_F1vF*P9v^xYwWSA+bzMPl#Ph)_fs>{3kEhT z*B`4SLaZA^h*Q~*ht^Tya`PzF?7Ox0q;m%O4?9L?ijaZi_bSp#6{SPmN%@b(R{AbE zkGH&lOJ`}eOH)(pS1R1X^BxBd)MKB}vE*r@X+I?VEo~~4#f9G^%*6^hFhNxZldj7V zu*8ze`-gy19HXt=db01-4I)CC;C+=_L$*u+F@9U~k&Rn&LedQWE)CHpR$cs zJEZvNU43)&3qh0Gwx%fIy$5DC?BPaxzIpLr_vh=7PnMr!{6DvqV5#U)&NDiM+!qj| zR%?iG-CKuYEmAwZ(|^38B5md?Zj! ziDKZ_GwswI3kWouV+(140cOF{-@#8^QBbcv3fddWiSe|UNZIu{X61q~t3C~`YUrMt z2#4=pWuOI|0jv6m{3?Q6qF|BmBS2A$GEz9i<<0L0H9aRKBt~yF3Ys0U==axd7UR*lxwXZ09j*T4> z>jVlER{}+idzQf9V2|f%cCeAhQUyt#?eZl!CAJr+PY2`)NUj|6-Q+)FGeJVcLEzXs z&kV4G%=ckViP0JvjnP{dZ(JSR)9T#OTuFV-Cy_QU`GZmRYM5QtrFqf*MMBwn`^B$a zW)1odU(6U{&s^98btc$=6R-iXC`cFj2c+}!jNmxhc#s&Mi-lpNf`m2>%m^8ERsaEc z^%39Ay_6&*avYjOA7!r`am`2o&-N^Vs^db0NBf<+IJqFwiXl+7C7F$m@Egn1Rtp-f zEIdMMgW)>EnK{M}& zviPxbSIQ`=&1#5AmXUW{zI!oJON>k;a&j2LX?v&DcM{~!g$bwG`x9S2EcRNd55Ix0 zwob)6)^!ZMtz)Zwa-nWn&2hCqwOEP}@5DC^wpxrBUKdVRjruNaTE0j?nrb}=2+4?w zi{E_!Cv{$%=;Oc#k=)1w|R+Q zz#*&k?OZoDDBU$UC0=k9H7%VI+zad+4ExF00S`XYKRy-#Crg8SMJiXhix7(~@x8?j zDy#>3n{0;Kpri5x>p?{)K!fKm^GYEFg3pqej$+t1hE8nG#W9`CI)~WHD<$>A9*}eY zU;gSU(jf#VPV$HYwm_dzgANytyg|qgK1({Qy9X2g;6j~W)j$Wa^T1P{>{;A}j(d{Y z=wiY3p#cFL<>7stK4xZSOxYY%)6(*)Pb5jN|4gx%=_*N69KV0~xQLedz_x`QV8;*4 z_0K0|vY(pXSZuUo4#GBca>KQmXm%L}W8&Sis|Z2XiR=)H!L@q+W9u&IcN*!F%mjTx zpVWy-t%OYkY$|_0CEo0TZrTZS9oK%ZLsC(e|LV87zm1=qjRTr;LjwwqcFy#!sn)Bu z3tsb=9yv?KXNZ$Yhd-?|vEw5jCLp8-$Zgn{3|7&99wzByLRHe`Z0GyqAdM{%*q01j!0B<1*>U zokkv|*H5T67l;osip8bJIwTgox9VoWJFWT-ZFHN^g1Kko`R{MswQEs0l!yOsk4FBlXD58dEwRd+FC{Iz z*mXQ^+SVz0v47J*18Yb_kITMQ%%>1EuOEH}&c!p9<9}GYa-Kg79ku@_(L*?l9s)Az@yXqi-L_(m{f5V= zdN~{d(m%i^C1*m}|Lk0;JeJ{E)oNzlAvgeOWt14;X>=y%DmQSCrU*&o2>$w2(h~-& zWStN{bwvrAwb)>9x&BN#e^E4BH8UdJ6O~^svgM9q|B{9CMTYeoo0~F=k+W4lw#~7FBiOw9s9ZW?pN7I54<^tY%Lc2uMhsO&rQxR z#zI7FIsew)YIspVEiM8HaCe9h_1{|Tr=`aw+=bLc5qnR*W_4y@?V%L~Y&gKge=Ya_ z^Qra?&G~b3x4IV-PA{s6f9V@JIaThxZRp`KB;_%2*ZSl z`&ksQh%#+#8p|(bqZ#WT9+wj8WvCv#d{1VK>W{90(p>QCK`lR)Z-?(cb+{< z=H$ARNV-e%PlcO$BfT(PM>+A?uDjzLQ-wl^`8P( zH2p=XRRHr;&0zlL<{HNjC}8bAu!dV4#v?h>j(y)=_Zgz6KbpZoK~zk5ndpgiOFmi4QW-tGT7d_YRezOdCTM_ ze_rKGC%1q=IKTmuwzSC~!6@Gyy!-_XZ6;CzPb{;^jz4%05x9lZf}ra!W&i#6^Zp<+ z5xy_{ia(Dm4t!|%5@UHLp+YU<>*7zt8?!W>ctDo_xvW7yqA1)tTEa56I+M&t+8Ub| zp4r>XM=;MR6yF@x7(2>G#pBq^cRA!#VoM$k?o13c;;I4%vtgA(fOnK1e1kH}uSlER z`P-Z`Ta*nY-iiAhyEEC}CdltFoaG4$9p>Q1@ro@Z|JpJs3Vxv=m^~8Fk+ED0v6MJ3 zW}6n`DJM9{xoR^td-vKPqo=p06`qoTkcS*IVqZ6jA^_U9NlVD$Ll~= zvH|LuvUg{EaPP!&JjWQ0!bA?+a_gwcy&bYONDSW3BOp~zH`KfXu}>NWG)%iZKG8fy zWC69l_J7qMP!sdzM5}#DF5_4)_dZ-;Bp<9rk)S-d(VUPOpIauv_z0xB<@vvW3W}#l z-oJmVWSK{t>@6onhLJS)W@o9U%N1Eu zE@v#i9$@camr%qqv{TM+C~JuoW{EjR-8HQlbt^oryXSF@*<0n6`fE;BR%vsU@(d%% zm!tN1t~@=uZX6%(W0CC&{HLiS7?UthqxoEZD4{7aF6~qgCGuOxWL^*}z?U=F?@4(f z*@LuMNGCjJNJ)sH3N~nccB4l*da33bb8kuMl+0xRiKh9!%;F}&9CQ5G=x9x~`j(Wg zW7O1fP|-f}>@QVBFcw)kJ)|*_?PROpu2Bg6>ew7C4T`YcTpf8}x2JeuH7_?&I_jr6 zKi3^%@N|#gi5ecnjKj_0w20#>ls4KeB(>Tfmc6<$$@I3fd%?H0C+o$)*_u%^HOCSN z?g>wIwAhzsm>M03I&5->ZK4kE0Yrv7P&}@K+lo>(Uuv0EJ6*8jwFT(G5TDznpW(Qu zED+rIwT6TZ61+d|-}fPjkdoIANpdi4NT&H0rAvjlwmNCHlA7>1i%&`nN~|^%R*rffTVRtVcyMIxiWMJ-UVHGL1Z&9U+goPpL(FMm zgg@cyT{}!T)49r-y;p1f9L0^{06yz3xAF8LAyz|O$g@)xryRvY7Ay<7bL09~zB<27 zF)3Pzyic81pFc18rP}Nj$>}?^FDv&LuZ~BM;B%>2ZijO}{NkBY>wW86#IfK;8NXXh zI|S~$E7ALYf@H~X)A1CLxZdf3j(3?x>O)=O?PeD73DY<7?Wi3~yjBngpQ%^R=^ob% z>gG7e!1NKQy&a(7yk~uBzEvz3D$@nKuk^xuy6$pTn-$;*?f)2CFuZcQL}{N1cqOA~ zSk;xVcCzc#8pVqh8V+4aoo`$^Xo7Evj-TX^kThUbm4wrQ%&!?X+#l?xz;JU(TrFJUt5%R;Y2{qnb z2#ixz!p^RAdu!yFi8p`SviN$Vd)MxW`2msO#?dJJ#u5_ecx-oRK;Qs)Kt}f70w!P= z&JeE_mm8pE(HfmZV?{@r{85v*@-&-I(CTILC?`0=#NPj}i3@$BD#i zmETM#*=Ja<>LRiL0^uHDt5kTIm7$x;q0aN_cBjf z)Ce)RZ|$BmnG_;uvESyZdH1S-br&V_26`7x0%ta|*v7WXX!YBN7a^II)5(0d`=V}4 ze{83DB6(wCu<(*zeuo;C0S~i}l!UKfm2VDtuT7>bz|fVpk@pemB7Qy?KrmbgDU^1s zjesPWL&jZy7YI78Vv{GjXz~*9J=!}9W^4MnQ*^&Q?n8>&K0h{U&vB{v@H1$*=_6^j z+>lPoS1Sqeid5uwo(&I1zXH#m9VT9-D>j8IZeq%5@*R%13bMmH0U6B-z=v9PB2x>@ zxkI#gZ7r=QbGz~P3zomH$!x6*Pr~d#`O92Mz@v|CAF3PKVU8-L33U-s-&yIl(ihk9 zKj^=OCIU5ux_o^~?Z%n%OAEJSo-YGwgP3w^!(|_?w+am=EY`kyJdZAEnjd|WSQhBM z1p{-QekpbBpcE*R0}H?(#LcE+>{@e|eqn7Y8%Ou#%fijOfuV06AJdP9q^70CNcrJ15aB=iZA4Qu@ z#3hrQj~{_5DWs_JQY#E{<8#x_FD^cA*qd;aD@NABZlg_hYA6}W?(i~O$VBnmgYb^B7EBB^EZP4DkDg-cWiB~A|@+tQjDIuDe^hHgEt6J8(hws@c$Gl~BJr)$*| zDL7{r^>6xGWS8Q)Qv^w)z=U;i95F|(M^F>SQ@AnUq=)obLc zi%2-Vnxng+2KEgx-YHaDXS^t`xJjAft!R7IWYe|Wu5NUa8cbO?tG0%IC;BJuHhCXs z7F4A~b-T=mbXKnMFAp8YNSa|h`9mJ0-F(u_5@2U0ca&~rgIq$t$mYP za-D6=X6JyzTxsE*v)az@V1N(M;6ZZ$f~y9&J^Y(c_oe+ zc>Q3vR`&D0PcH9M-Roj(U_V(Lqc#hV4|9xhRqJsw?gG!`=4oa4i%|`Y0Qq;vBYpw%yH*CAFz_{HD#(EYmCacrq&eR^V5HMeZ9tZbb z6Bta9{XjgM&x-N&o-Bi8fqAESTuGfGw$^E94ou^4Ms6(Z&T+Dn_Rql^&3J~lv%E-X zTfkHxDTZO6ER{vYmqQ9|p1&(NKDqr#4$Cm|PNL$=qZ(lDC}JIZHwGmc%ps4l3BH6) z5El>-;2zm6h}&*ux@TBphOSpTG0uUn!6ew`dg<$?xr=tsc`+emwMSf&pIcaii*^kA z%SHF}SBn4`9 zqU;^kao=9ZjOXuVI9s3OU0_DckJVSGuaggl?bgI1T46~>TPv@YS*6_CXlkaqUXJ7Rf#*Zr zqO1x9=PyogZ|$(?a#Vy-qTEhWT8-&oGH=earS$Y*o@+}ED0#QO&&a0vLr@JY=4*Kf zMx?aKU?@U7pl9~2=jRsQjoqAj9|!lC%@2+F`Fu8fgp@f*+NqZAg$(pL1oCKNld}Yg z&C>w>D1eWra)<`G{tBH4$dAgQCrQ*1G3yTyLB*;{TfPW;`y*vnwe8xO7p+Dw2%p#* zs)St9?r8h0%v(aAF7QiD4Bk`B`N|MB=>1SA6Zq8Ib*1ob?W8S|n&8-u)1+r&I0TQ|ZtM^cxQ?H}oA zJVH59v*g;=EN?^TZ-cu2Tz$_DSbk3vyD6zBft^F^KK zwlKwz(J6)!?GWTobRfuy(yRyQRQa9V2ZQJ)Uf@?xzWOqQoSZ0YG-%@Rjt1f@`y~Kc zGwlBbe0TV~pV<8p+kyLDmWLvQtIgPnNa%Ka+OcP$T0gYbtxr9^9@SXnvmolRHHCBx z^ld%4y@l`DQr2>`-Z)V)sxSJX@>1WiSu2Oxp$)2g1-J*0$Uj3|GX#Fs4IcO~n9k18 z;Jgi~V@}y79#c77pxn_D`>IEO$e*v9_k92GNN2j{@rK4m^uj{koF8SSP~eeN{9Q}f)0=mkJH+&SAc$#%#zuQ) zIEd*szt@v^-8rhwf@c03eXCz?R!zPisF~_+YnX|#suU2IH|2?wNa1dqq3v!SE1q+zDu?aDIOZ-(jG*=#etdFM1;hYsv8 z2_IXO3;r2Qa2Pf87p~m1{GXI@oB#*2`y?7Ny6UxaO!WKd-?VYgfCJfqcuPvTS+r$a~|W*D+)T9t+H5 z_*}iYi*@Da_}|r)JwT0OE3IfqW326F5!_%3nA+_8(Gz4l6#gx$@cTAw{Mpsu9A;|- zK13KbC)VjxJ@v$tal3JF-YcGnNiE($Y$5J~jFMbYB7T4drzrJ)KO5jGdT%fMd>z=g zxi&%P65y(MEKJBB*Ur*#GGKL=_w>)>S}7V znyISKVk58^r;U|4r#ROf;4$u>ZlK=nsGCVM^yyHfTm4AvQdDCCvQDEUkDk6;q_uT5?}1SF%EPzhQ-s_hr_x1WHQEG~=qkG59(=BIDsU!|e@K&q zpAL~Xq?XvI-qU6w5V>pB!D!dF2~G32Z8aZ2B}M1K)X5 zhJ+o372h8u4#X4ur+EPk&lpWo(=546L97*3^4xEwqNpUje|>|FMQw5T<%h%oqx9M9 zIa|%hv1GO8btY<`ePkAFt#Oh)G`Cj=_)f+Q4BLz*mBqX5>NUlLEFSPb8ArS^pC=y`GmpM(g5SS`Mx1n$%oeI_mZm6FK z6>fRTli>Bx(0fr+yZy2j_SAObO%a5Ec}IlpoA~n!PQVvXW8y9*;gmy^t9cIr(#r7V zOZ3|@&jtl!9KL=Nq}?5C=qs|Vv?nDQ zLf;7KT78*6dw7K!#E=~pQ<0%d}h|8Tpt|W_@_%B!DxE6lT5^Qtd?7K zaKFaiJ)R0_eyz7Cu8`)N<2CJpH{K?r7-(xyi&l8lLhf+UN_;=W3&w%JSYc?qU|k$~ z0Ou|qX?>DM51Op#Xy1+hy_(63=nlOW5^lY;3-X{=d81+1qn2Li@kIUF~z!BGJhuGFzj*LfFr?xJi-JWN_)!Gm!w1p;twZjA6XzYDR4 zVHpmbX#dTdy9;?7^UTWDj=GO(PEb~Joeg~o^C9aR460w2*w{{)TLCnqNMZYTAphY3 z>bh@?AZBq9ym+DY8m1;>Wr04rF!}xu=KRFDk^tdDCc4$8hVKz8D5Z8z`5T$P``|26 z=Qb|dql@9=2b?|&RPR?@ZCKq!U{td?y{KtFM85P&yDAkHkY_9GKF!wa^FYruuzZF z1<^d2sWy2jHl1vjCw`I!7ofXuaa2he&$$bo7z`;Cq1 z&2RWa5^gIe7HwSa0BVC22Ex+6!`pUb2Eb%~QH)Kyazw&mkN}_$!N@LuwZG3#PHrFi zRAQJ;!Xhi{*=nGBI><-rvbz!|hB8a6ViRlp1BgCLEr3P;r(GWof3L{QW6Cc}*OY zIHl!&7rfVW@1sx()m7;|>@u;Kl97F{hi6D+Xl6*5=!;_i2ie${(`NA{M{H8!dE)xqV=Hxw07t-lK_uP_^J7w(C& zOM5Oo*UdA3{HjhSPg-d)}Sg?lKJ6y;O8B(-dPJ8%_4W66ly}aw03VizwTst@`|DMnCW*yYyVJW9 zbXocN`9)3}Gn@z3pJi~1SB{Z_9KAxm<_QMb`SHNOz&GHA8K_ys+Gy-8nj+=PJ(mmV zpZBUP#Zbowtdzir+D;ftFZ3=8q490T=jxsa=eY}$m1G>pl(P-X8&<0e-7j;VqI|}R zcS`IEZT&ZT{1eB6Igz#U$XguyA&~k3M#E*dLLcOLC^-}+`+tRUsH7Fj^G2x)M(KLL zPV(qiX^(}cy?GWaNnNRG0WLG2%r=lxNcQppKJ+aUJ9YVxV^m*o#sC1GSJc!Vw5ym- z>eZM!lL}I%g6Od&2;XWpi3v0imJ^h`mo z()V#<$X;g%GXA5~Ao7r#lMMPyC_)S+O(IBU&Tn+_u}Ug(T06Gq^1&2^C6aO1dO`yTRp*TZhT(+)wyza3wa2AFvEn8&NsOa=mp)#x9#p8DkWU7r*G` z2FQw9AcykAn%|Y^ssh44vtjNSmG^Ldem*Q*{CHgBRdP;=2gR)RZ?L2!WdD2#0eR|K z)HWR=`fYjn^e$@hY9< zg+lY<-R4DH@3pe%Mm|-DlSP*}Ld1jjSWMYuir@kTRAe{v)flRILEX+?Z@3O456Oinx{AXc52f zitn%GTL2>W73##4)uW7Bu>(F?C)|OV;b{T>`5(eyavngM1-v0|nJRxnEk_uCAjd+5 zOzUZ`wgqYkDhI4HuMLS?$jrZusuKI#V=Qo^sl2_=Y#F$rd+Icq z$;j%lIIYqBU%h4p{27%)1RZ>=X}LiJ|JgeKbkEjX6m*tb8>>FbB3AlwlCGyN&a64s zk7PVXexfbB$BxYfq-n6g4JR+4;KFED6lJnG;C#T^f+q>#^A6_G0FS?s;_+0xdd@4qhogR@i~&3sz*00y+FrzneHM_C(M(we#RFh%uuVVDMJYq0O*|%|s6H`h;pTY$F$6~Q)RG zadGkLPg*pv8id-~Blalox&s#mFfg20Z}$`@gb(;1&aKkt1j@eqYd`T@gj;i3!(Of2 z8u?U8e_U&ijm;jEDE;RrgaUF8hO)1pLRF3yYQH8A-j9nSbUm{)t&I5A*iVrOdBY2X z?xk-wY!0c(JWM#y3747(jUFyRs!dTg#WdGER5aFQ@Il2*qk6ix=m+$c@sBT#vsJ^R zPKGpY?8#aGRw2v%+Q@sc>nsby?HWh)(j2fAVeG5UK2$g{03S{xtBppX>{C72DySaL z+51!gZT{@o4`_QtF9s43iY!539@#Z-kWBGXKJT0KI7CHkh-7VR{o5qm6SVw*^zx2u zRPH;8#%n?otj&dN;2lE$%*1o&Cz(2?KmvdhCq$^sdd+F|NB$ zh17uigKnHEA%$0Q-g!@({P!U<2En}w&t*IoEK8ojyJfq|?l$uAIV%`trWeVE}}Ux@90g=TjrcHeT&0|@IfNybIS*|x0V+c zdyML8LBOgG(Q%vJ`FZoa4-D%Q4XQtXN;bbWE5Wh{AP2pit9~pUmc)OK3y$t0o$4H^ zs?}9)Wc*^$Op-v_ch1lKYCa7n4 z8r?Lk9!1+5s943WdR;n*L^bxCyk*cRE6kz81CM$46|N8v zdR!vF4y2D3Ck8XYl{~|8k9LTeO+KO%YD^#nT+eISa~z^h=!al5k{;4)v8ypFQr`!C z6Z>ARTPNvDTJFT=(rM6-r$05f5h;aaN7}`IH;&@&Qpq|!OjeXkXz-3s6iq8n2{gEk z7pb4kq8d+NVc)FBniIyK;bMr?**}(Dnx|786z4tn=IBdfhVKA{&ZKfdj!D`#{g`o!y4eKn>vO5`!5E7Ff zUJ&bT0{RujgG9nV{@K@;n?$3GP~+f13%&&Dl`a#zAEKX+2WOXPb1+113lDv26N(hp z#cqv%2u~YAtVGggdf!UrTwJ`_uvH^j5hvtyGZM$b9{2T_KCs~mb%Kua@(T4cEJo<+ z#0#3-R#VX|8$XN@?twv!HK#3SV-gaKvQ7uNf9_D041!DGh#&0s zH9#=*YkL1>SW-Jdc%b!x+?z z{?)p~Yp8#CAFkwVu6U`A!#>^SLz2C=V?v0!f1~mDSI*1th9>J}!p^U7N(2MhLbWl%D#M@7hPPH|jVWy~yZ1sNG-dy>H*&0@V zeYde}tQtVo0duO{ehW@lsL&jXFL!$FIPE^`rL)V#N9EDmK^v1f@gC)|s@S*w}5EUcAOhDxVNVYdZ?Y)BO3#e%hz3KE^kXQCqMBbBOv%@J@7>J`9Wk~6h z|8}_fXiwd$!=0d&$5U4A(Gf+{is91_Q=MqM>Cf$Y^suR6&^EzS%Jz%Tqr2MdbA+bM zQAeW`lh#B8xG;5%^-8!Xec=%C`N&J^!5;Net!@w|aoF0~w_J2l?}(~H!0{wO>Y*8w z%ZRf2Kf1Zgt8&5F)C+@;myS) z(wqE0KTOkZ-!TbOo~<8ct5?;6!`<+?sTcS^IeE?#uT4+qtw=cxRtizi0GxM?AYvL4 zu?trp#=La1HDVx6`n8-_uTo&kZN^ zKlVp#3HHKna-E{SiUd8wKK&hO;@UeuWKGdOdCbxsW8xs119wv_|&xx2z7Ll?5l1hTpIKZ9X@|M7W_t)@{seCbtdHg>%4zUZKK%SGv@??Qn}57;N#;3aOS(!$hHIgO za^N&Y%eVW)gHCS2jTreFD^j#OIYzUZ$Qdd`nFgiZO3nj)Iq~%aY;%e_=HtJrxYXN% z0eqNjy@I>wbaH&f#^Ape_~#$Kpddwi91_N|4dLmt{g-3zKv!8JR8xrXPJ6^!zFqB( z?@@zb>B#xt-O$eyet{F)nL%zM(KQbRah>P$Lv^rQaH*nY!=qy-9r4wto9D#;5)q(F z{EXCpL@d9R2Q`yhcwbE!LYeCR<@SvW3xUIoga}%3C;3%z*X93N;#{eD&0675&&lB& z-g6c^#v=mPt0+?$CuGLEk42rdXkmT5y|o^;u!zR&p^*og7~2C6PT; zJvtaA8Mfl3fwiNhf1-P}me5f*MFb!{&wSu@9svyXC|@zci6Yl5j)3bocS7CwQw37N zV*ad=YPp`8(SRyozXFLo}CClG}~%3go+0jT@0V{m?09T2wShL!2M(qPhh4n^xdl@ zJPwZflkyReo1R1^C5iw=2i1I*hIe(Q8=EA}3f(gyL3ynE;JAJP!^ZNm=nFEd&1fZB zu8rZYp9^8&w`_dEf6}^}4;?s(#^ajJXI7A%ap|$2se7(E^UYhu8Ok|ZkXAWEkqzns z|B+V3aq>-^lY@f!i{cCg@^j&Awi{+WUclLWfHr_->K7%;ZceK37E`eQ?absVMbS<3 za=v1$2%AQMv44o^{Kxz?vNKm0R*7-@FR*{Qbz%I^Q&W;oeZ2$ zRUDpAos7Eq-w+D`EdH10{paJdDF;%N!w~uL1l@E06KCSUASoog|D>k}?k#X~ywMuj z{D(~793E60D>FqR{4Z?rQ+3l&EbIJDEbTD#TTz_u46E?jPmjw>!aMN?Hy+{@tLV~A zn3M8D6L25_n(JJ-qEJ&)^C%)hvA4Ik-?++O=+|yMrVwUDc0RfQwF+vUV_R-yj=k*n zd~~9|w|jPqlpYfSW+D67haCp-pVAMy%!~mK-?~3$4Yg{!QCWR<`RJfLAUk`cS{&$h zgDAO!m4OyrBDxm)o81;th{|u71rwnC4+TXXOPzEsoSQ22{}20x9+b)5n(vfn{G3Mc4~3)*F1dwXapt*g zO(_^;bq1!u9@#>mht3F6N9VGbHxJdjZx-MO5{|ih-C&_-5_M>C z=)GLQg%YfM{;sp1qhL(ZS)7rNxPklVV~OIu>^saO2j-`-@&&Gzgc=(QJ#Ib`?_>g% z@u$J_`f*3!M!1ory}(%pzk~F4i)CWT*4C5;Eudg`mjL9UZ&WCZS!w=FTwRbezFfj48vD}#jze` zKqhR#%y_(E?P7YV&GogNenvPArm}wKF2&!Kr{}Wh zcTB2;Ll*~FU9Y!&ol}XI(%P+3UJmb<0{tPs1%(Z{6E9`!b4O3(mJff*!%Zyd2giHq zcqd4^9i3;UifUvd8t&9I#Th62LQPNP?)Vb zRy^;rr>NR~{12D~hhAfs4@D8tFGSXPP?}EHFS3i8E0$SUnH#>~;dPJwfwN>>*c+I);Z7G(RzD!TE93fw9a~ zbPUPFTxHGrNaS!6(LHGcSY zF1aM(7kT`aF6Z;zuW&Veeh4uc^R=%~EJ$_z)_>b_ z8duC$-FtetSAP7BSI*NWvhAjK9tkqu4dkWWf+WY|W!dM(-q}XJg_7Eyw_lnnrIKl4 z0v&+DdB1}s;NM8$9AVb>_)CQJw^~&|^hGQbwv*1T0bxnmR1)~(}V9rkP#-MbI(T#DDw6v@>ocPRPklqb!>{tS5R$fZWa-8X=W-{u(bX1x?pPBlubMI z{Wb$rIbWyU$DFtIkx=b0LX?N^T)r+yCRwp6>?7OH*o3y2v7Q>M-`dTs(002xwix;mtURSu58(LO?tR(wCxG0gEip;3uL^UN470M?7qlp<-v zPZMUJ=aq!sj!_M1GUN0@qIYSCZkiQ2!Ytsg0rgvEgpV47zi-1B35MtzV;)S%o6l#- zlfje<3q8&x!v)gxzIBoL?%Dm2Z6jV}D%yK^kM&S1&}#5v@g+@UeYxg3F`FkW4(Zng z^I#_DLi?!ZzV}k4%x_6WOi%r^l5)R7I@5le*RRg#r)zJX zycr9zECG=p4IuoXFgl;((uqg%riN8!vBgqoaS*__#$6vUFMGqA%ox@+;OU9kF&W0r zX_PHz3!hY9O*It4olz}&QghW0sy24bN2H%ev_TzS|gluDX)(%d1VYAre)1PT#SP8sLb} zoK`aCM3SBK=LjS@?>muZ_2e=Fyc*UOcxrw4WIX(TkzCtGo!GIex()V0Ply)2lq>ypKf;f!tpq{7% z27=jDeRQ_EjG>^nx(=YD2dQK6F$1>B>$8wDskIdbh!Pf<;T6d}0$x8`J()3nSu;84VWs>Z?gCrs2e{U^a%3qjkcJTyCzxs9;>3L*H|9lSIo?nMunck zI$XB_>#k`+UV*Z{KK@;iOXg9N6BExjd_%GB{$KyH{14!_U3(~Ow++%m0r&ZA&up(L zor>uXZp(DH;yZ#bG&cptUY+yg(&?!V=tbJ%sPX8BPo51~OAV?I2%~!(fGsDiuph33 z{ju8I5FxZmTrO28ZfVJ=AZQfiHe)YZE+0TNLU_#TRKM#^wpfb>VNTH900F)ecdO6- zO*tQ*>o7-I__LX6fQp!~wzY7|7B4?L>;A`WqRBA}g@&NYRmK+B&c(ZlbDcBf^?O6 z5=5bKS3Odt>mOf!4iQ@Hz{!V>xjQ8amUzAg@$YfuDKM8)7us3r`e>{WIpDl(3hEH^ z4Qq){NqH92?bZN*^;hKCcLZOyZbyfG0t&w+R=-8SN)0*&G{aS}WQh5)V^}J|VZeUc zA()GVby<{8r8d*p{NbV*yE4L#HtkJWlZ$X08t4#J0PaZ&7-PUx8FkA@bcJT&DU(Pz zXiqa-el6v71z3yF*=8Gu0FkG4aBFU}iANqeU6Fhh_*H#WP^Oi4B53CtTNO0)*@8kh zGW6D&VC;_5$Db7FTB=khAtsMP@%&63Hh5lZuW2Vd`WJnz(Q&l=J%TsL(qu z7UjI)4e`@>y|D8nGE0b#m-k>XknDPa*-q}8Z7^yTO?|ufl=)DuL(J>f)+P)fUw6sK zJ30?~?Ai}HzD5PXEP>gNT0dW1K;WXjl|;$giHAV%gD{UmbpwQ~w7K*$Ebv2lU}=0q z2MeK9t7FMDt%>YiHi+yKrh3`KIY2 zzJ@hj>%Mb4k!(|hcK^(wD#%-)-Z>exXnr}Ld>7Pv`zPi;i*7NbW zk%uKvH5SSlmIC>R*A~;O^KrOSJ0CIKs#!}n-ZNdj11^pSD4cHsHml0g%Yf$U0u+Q+E?mqEu+WG#HlwS5Q zF)h51>!0;5zZVjz5UoqI#FCSqk-C6@%Ax9)sTDY-vk@l3(OG;80SLzO&`yjQy!tZlkHxi7DJ z+OfeNaIH>3klGdhsEeKgXv+`YX4#3eU3bdcf8?HbWZTr*T8x4blj%|nz#hezWRYU~ za`!qLKk1?j(@RoCeFPGuQUT+y#{g>{vw?{e8bgSbOy?SIF(a9oE|9$vg zw#CcV!@*3=MoK>j(nK~I9*4$!M$%H<$Ud4@{EmvGD^29lB|EB>5CQ(fm#@BJ;CR7e zp!AM^X`%DQshAY=6!+42l}S~vD>=cRRO7~XRNFJAG1v!QIxnL(+7hJo)6>%n`|Yp% zGzTjbbAfG|Q-73)>P>z~V0`t^VTh1Q=8*4w!pkg5HyHzS8cra}R7$Ml-IaDTh7Een ziv|Z7PjZ0&kDI_BqaHQ&ng4LBhbV9DI8e^#iwbH}6TGLD-meaM*z;!?whGg4#`Iyj zr?W7!@&XfL;db$?@;wGJf>(s3Xr8N`PgDqE)*OZ&V?e?GGx3G;&i4f4l=YeSrB=FT zxzu*Iz=k-{sm|1=vCiML+QP*`e5nx%ZxxwHS$z%>fPZ}Y31TQY?b;<`zJfoDGRSj} zPDoxXiq&HCm@Cye3AKJDCLnb^D^oms?<0ei3H&a-#~8D^rJr9DIsCe<;41q9?x;Hw zzpj;lU8A2p9dw`T-=ILhK#EKR;NJlLdCC4}!{C0isHfyBhu*m6C#?Y(>9zoj2TaYA z*B|+uJ6vOW>&t`Dmp&7cu^QN=ayOg_u$0QQsnB*357!1mlmFN}Y)+3+CPTz5s< zjyUMgvsry)yx>o;e8z=zNo_WskYX>27gAmQZmRK+xK5Eu=2OTaY%cADg}9d9xsC#- zeA|>vA#h1KHRB2_UYF^+N_XL%MzJ72SYl`Uf{Wsq3`VVJ&bby{_)r0>rMoi zphM;kAb(^|%+`nPHLY5cHC-1+^Sfb$hY2%DsSrAb;n^>udzl@U)!6CdxCOj!KA20% zJO|!ZWBNGsf;4iz>-`H=HMOSfvL%rpN4qWu|Me9H_hfL@pMP97nh9|b6W%<1r80NP zu%rUonABWz=Qro^usFf9VN=Y_U7d1O>G9=$({wv`CWBP<7 zGd36O+yo}f4XDJyk{H08f7t5~!IP6;Jozlgo|RvD0`4BA8&8hrGOr!iB}ETjILdML z({pe;=YF0qE143G;O0H2iKtb@ok37zQDE2PSSd7<{Q?XJ2HW*_T$C>z@1G!P<|9oGu&2DPtmh|wM(i3lv~15t11&y%8^y`Wo`J04Z8cTsFc?6ADa(R0TD-OpV_#!Gp*+rIJK+gZbacDGBQLn@8@1x{|# zAS0C3f}&>9XfoPZ{9*Qn>dnrfwW>X@jX8U$OxHC|U#+Kh9Kh}yU{ZrA*hW@RI}Zj- zFo4yTuNT_mUhkwr#BMpD6skF^Xh?g~EvItR3Q?W_5|8tlU@(&+7&T-9oSqJ_>4%@q zW6>J7V^k&L6}9W<Lds>zmU4!MBiO^@rZ8ZdJrDQZk;Al9nYKI;No zLV51vMH_~Gf62?24?YuqH)<=kAVO@exX^Z6Z1fT?BsA&9DpXD-!>SAP@l?7faADKA~&}twfjz!P7 zmz+VocAU&nrGV7=NHW4_j-qc{==Zoy41fVoV+5W!tJ!8#Cp$1^r>Ott=VdYPZP%%< zi486pFIqp=sUu#?xC2-uU^h@aU}cGG*6)sm;cSWPJ+X)l-aZ$ zK|QRin?D{D0W`7@(1zAs55}GWZ3rQaYEAPLP#?SNs?#S7oKfE+F=oy=y%e?OV2wu9 zvG+6X8&rtNoXc&LVXd*#$IvrZ+SjA*ihj3x_Yn~GaqG?Tnx4~Jdy4ez05=CfE-v=4RsF6JSG#)v%qdCD;K z^5a7Ul|aRbYrY>>W1rK;`Fe#Ue+9HPDYmhqw(&DmXCm>l3U7UlRxeVt-(ru=!-%Tx$I&WYSd`afH0_rcTz7`Tle;9* ztxb=)(SF3WHlc>H7C1=2xQP;d`@HwC*IKt7QB5I3i1bH`Q@<&Bf*aVC=bHKD!PJP< zx6LiohY6ANtVowkSx(J)@ib1PH``VYR_F3V8=oeI@Ciwnm@4ixmq>E9DYuRhnBpXH zlblLI{bGa-BzwAG72^DZyw*or(bno>ccS>-4(+^xT7OCTW6WZ!D?bCs`hJJCa-sbB@VskVhvcKGjOWi|1&2mMPIa}hfA6*e+vmOvB!-`lE%kQtVrd0N(D3D z-<<0L3*Btn+4vz+^r&O12C($3`ar?HoiXe*i=b!-wHdlEn072Mq@@`1_An=8ejXM- zQnYPkU#5xF_F}D5M$C-I#tFph?s7AIqda#p((u+-C)Cu``ggPp`E+K>WuF>hQF6{l z>#1XznC%~#;tWF!)e$5OF;0GmHdg!X(IBDGHBS<^C%KBWOS|aF@`o+IbHdalHm@1K ztQsxG?&C8<;16NK)hs41MP%gUFm`R1uu7Eoe?jSZMbiyWr+9rXT6I6>y z?_Ka%s3?M$p|W{=^oY`WqFa3KdKC5L)p9;tr{jNF1fMe4TFN4 z-IAB9KmO5XhY04$ETp1}Mf!g#Tq|a-xWK~>08a8zDg^ps{jVETKn-vp)r(~xIk*A; zcd6ChTLo11Cx5v$`ucbwnnBcI#6?H`a+i^DyLFmw<8 z18RSvb>Knj{}+1&hvg z?b^p#b{7L93hx;I{b<>S-e6G4y+C0%5|-3)u3bXz9(L z9E_6g`Q%_{ViXt;JzKT6+W~Af*dXkY1pGeJf`+C8k1S>dkNi=yo!=l+oXMjEZGpeZ z)Bs`G>|e_qda8d6yaVWN>HNG8}rJ@o0XKnJ>q+ExNyYT0WhgG1jGWCo+)5 zTN4gwM_;ylK2H7R^Z|NYfv^7xhf9W9jcL(zwFTh+IPmyaGg3~k8h>V7+e}~+kbFH^ zU}5KW=z%$e|B7R1@*u71@&{A*H^7#QxDwfxReDg|jt1ju?@zSFaf7H%;eglLU|ZNz z-@gb?%6c~&RIIL%lDNFii25K4BDex{jZX5MWYp2VzYWL;r$DyAWVFtkoAYHH> zQGAB7h?RCI4%K`i7XphY6+ujFEP%<*!7xg`!9ZOlN4KodMQsJdm6AXMQ!dz|J@9Ly z2jrhxcdqPt0DJrbxD<1Jmm9!z$xHN^Bssw}T+QD<8W)L6ug7oP@&&<_?m}^g5t;Xy znVFIgLHSUL!%$eVDdtr{0}%-!bY{B}G$~+x*c|1mfnrmx%vB?qGU=x^775xjgd6Sf&X$T|0ER2gn$Y zhQ+`NyGm*>v$KEWc1b3(u!ZG8svD(3F|*3O(b>Y64kdiE*Z6cTb2 zOhyPl&M1&194kD`#BX#BVbiv!M^yuwX0_s>-Hp?a#@=|*q!SxDQ_T`r7csteBf{oy zcmYIX?Pu#`XQ&tl*?9-)YChfU6Ltb&q}$p0PDtQ^Tlsy!cK`^5( z?D_z%2!k&VirV#(4shsQJ1XE!NCoCLVo}G{V%Kb1<1seD)JME;qk($#$9#+wstmua zSZ31nyzg#db|i-LxE>f$|24AoF?Wz(?-W~T6FG3B3SM#+7ZPib_rmf5k{XU;$^kE}rh%vlmI z7yt^mVJ-fR`M#q@Mal&Wq8*_(i+u6y!yN`mfDz>Yf?{+wp-U#iV{^26=r!ik{%;;< zxF0L3<2#&Ari_!*K5}>6Bky?+Va^nW~6ug4_{-6sNVBD4=0b$QHWWd5w?-#GlB z1V>&ozP;OmyuH{~$Pny0!%wz<*m9UPex2d^4E#qqR!34Gn{O@wVLz^fQ$C76s<;+v z=ju2~%~ksi2!IHmRt&R-esOHobZ;g@n|_6k%{luo8d$wHkc~#eVa~!v(pAW=rFq=5 z#E2U`^I8M@eY$`2d%mqY&uhsvzsTYh zQ7gaCVvq5!A36K4udjo^>otp+Z5pTuQ#ShVbQSRgh_%~TQ)h0H_faT5a=~pKS3f_Q z6N?zg1zRZ`n8FMejBz{LBgGB_8`XuqX79e2AH}F=+R|LAS{w2x*t$eA7d0o-^6kuc z3m^%uf!Z^Qt>4IXHN~iSpD1TlfggDGtx5mwPzNz`HOMTSly#&Ou>EIRZM}1-R&sWy zGb2Z~*i)Y5iot&6_<3%5oj>#hR_m-L{QGK~0u_#fU&U zile0dybiqB5QcI!;nkC)nkBPND-tYQF$L^J1BhI+xW;=5Axh=RyJS4x@<|ILF~-XC zY`LskY`QBIE%6!p(rQVQ!9ohHIm^spSS~G~D4M!W?VR@&h0`G0-(Lm!$ef42>+X@o zZPpso_G3S%7jJ*)FL2sP92r71(`>ch~9>}G*%e;Dp)YT^V_bghRn&gGW4 z6ywFny4y_R0FQ`Xgl+uKmmH_*u&AWiDZ3VbNjU`F25Z>~v6g0|#De}^Av4=Nwy)D7 z(Da(%oW7S=E5Cxd-%Ogu74#{+)Uv)7DWk(R<0oWV+aFc>S=Efw=kBrlRvo{1xIIe_ z58VdSg%Uwn?6-Q9`J@HA7Uo>1v7boM9G{guRLow7hI84dtZyd<$fpdb(42g&k@Mn| zRAm=Oi&U$=^Id+P|QE8+v`$^>6x}m1xS-R6Isol_V4kd=uTRx0F7yw+(sW)t! z-tC`lKlNz*1a{yG5{BWuS=xf9{ty~`Z7eO&?~X1V)D&S3VzMn~g`L|RB2p8$WO&qd zaCdO6yB!lkTiy|e%{hM^HBkN^QAB@?a%4>P0R%My!4N9AEHB*7#2@oj%f0)#mZ42y zriDfS(Yz~*)eU)DYzgN(_QIAkZ&Iiz;Vb1@P{RkBVcs!fihcpbejo z0kZC+CbI6U<`W_BfpLw##qk7=O#uB+{w_-J0D+%S0SZ`tb|@Er?9f~GJW|9U?}S_9 zIU(D8Tb|s_Crf>!vknBDT?^uxNp5+wtBk$0Bb}-K;!hLrg8*Bsh82!pctW4>LjvkY z4g}$q>}P!`r2}y@Ukf-$^p5due-;&@OqV6{D-lb_Ll@}?#@=UwE$KRuzDa3cBQ$~} zmanz?^D@UcS8>a~Fi$rG7I!bpakszpkiVM&D5=j^Y?)n5*f=EDUbqef29 z8OIg*VrF!?Y!xP>hKS#ccJ6B&StRYvyXG$h^E?EHBL@>V;vUL-SFj@EY{H*b8qvGr zvMl1h01eXznvzJ zWgD$q(wlwzzFEBl>;lcfP6H{rjkLqA-c;D!NuuKc(&x%}>g9VO zskWh{UHbgmU+gR<(k(6Jn!)psWb|PI(yj|{+#ql@Esq2LvNAw3zlFa`mi!aWj}(0x zF_O~CPHDQPQu*V4AW72~c3M86s?ANC!2~MHZ>nPzA{)p26n!m^gTTZIJl$R1cZ3lg zpi&^L44C6xOyoF_;b8)O0rk;7{7jeZhuD{DUa(g{${~eb+D;y$zppPJ1(?L_@k>ZQ*{w2LQ81W!U2vo{b7 z{g&g-7fH@(0Iv}Q4oZ5+1rI1@*84E?DUwWE82e+w>FSST{Q2klL?vVb=%BJsWW67< zZC6i4dvmcl&DI$lB0n0%!@o&ECpH~4TU8&05$b2vT%z13!tP4#@dH8>bPXoL^TSt$ zNP*u##C|#au%xf<(1X{FJViZ9nYS}yDECGmgE$ALff=P+Plk!DCtjg^YgSa)x0n0h zzs>_2%p#@YuQZ52r;xGpG#6j!FYQ~qE<8PBr6$&%rC7RrU3)o!w#qG!xAQ1BFgb#%ldSj|G4Ho(c>7(DXJww8& zB;bFH>4~%e{`qsazKSr%u%Av#d_s-TxfHgsSg_jA?fHh5#mtmCJ{zC!(Am{ARKCxJ z|CSr2_oI#-P>vxm<_)QJNwKUk#Uw|Gac{ACW%W9B35WyS;gkERwU-C7YnwkJg|`vV z#fR5%H{c*DfRoK?HL!gBIm=x-pl0u#DWz$pL5SZYCWb>?>)d?v?h)@ht?Gx%qZ_A1 zu4!Lhdngq)5VMcx3j{i-51hpt9~=a+s|1W$1Ql-6UKp+7>HOXgxFHkGXKc+pV(;J{TfLIQGfkA}V7AOK6ABPwnFJ z_1cSC%MG+0TW=5@GoRY#(VzS;_6*#`y|uE<>(?!>^toHNlb&qt&$UeLwk0CuRJp?$ z!Ce)Xy&4r+CF87*+xOhjMX$Bd6#CJ-KCouukGH)KhS6egQF;mTW;898UVQwXV z9cv3&30e01HCz&D7nMc*f^{QlU_*($;jUMY6jWJW@& zAlZGv3ONd=>;9723$P))aX5hrp`dD-Hz}~iqu_r-5a9WjpO(^R^|o2M=D%y0axGr-K1 zxTL<%?ERQWz6Y4y07!iG=Xs?cQ%@X94nI^s+u&r_!A(H=nb*L3cja`sEiDb!lW22#q+Jo8#+AK9=30 zb+rg=?PZU*w!?(=y1W9;F^x@<*UXd*@3;+5Pp-S-(co!bsoDcd2droTXi#G!O^l*u z{K^CKwiAqA-G%nq?s0eJak5Es>qN>wIhLSRdwfJ{M|rj9NfoAEnc<5B_4oTv_CEn`f=uX8J0&|ZJJrYRwGZXD zj}2*)J)*UgYzFG7dU1ohBX7B`*;U;bYqk#*4VD9v>btaW2{9Su<_9k2*(q4xUS>V9 z@0j^MBk=SwRZJsfcIl~LH>=y6Ire>t>+txp^U)Xx##fbkv|&ttq#npW238@jQcv7^ z2qG^ZIxm1DQYFYNvU!;iO5SO)^SjSDtoc){dmo(rJNi{&MDXUED47U|q2#gdsUQBq zkAlCSpTG>05YE*V+nW;E2r=>Irg|DPi>2D^%SZd$affYw8@I%vK~G%l}kvE+2rr zFZekzywNW8!~UJtPtH1G1u~wmAn3HOzftuiVWFQIehOWAzZ1_vB_nf( zb$zjAqBU2?!c%7~z0bnHvm4xlMq;t-cck?@-)h|uA4P=5aiwwN za`@w$uf&Y3*IUzE<}XUkb^T?e1)}-O^Mg9>E7Rkv29jsu@P2m#J+Yj^U-nYwQwprg zTHQW(YZ%p-(tKhbRxp`!k1)F}KhaJ*)hCAdmb+O2=K_ngd_lG_fyk^4I#IGw7_&BZ z>`tz{oUxT9!8q`m#c}N!XoG1oH&)DSSNOCJfE;gOSQc{TWXItE!Xs~C{g@P zN#CD%hlMG*jOQFDQus3_!>T5eQKg*10Hoo)s`zrRlSa+)f8Q#icG!~|Ust&{#g)Tr+hE01xZBd64^<;Y4>z19eip(eim7%!jI=}aiJvo12^s2kUsBRhhfLR%c(RVBCw!ziv=i%I9&f-2+iZzf!_po9D~VI|+U;_wpLv zF;*s@ItaBFVBl2VK*kS37gUO`DA|yO$CV%cIu=%@XEL21*)<^LNng! zuNvL?-YyUfUAv}(T`(Z!gE*4ouY$KFx6Ts=9`v5f@Cvoqof`3)a)v3A+&KKoeNdS} zqfb{e{uv!bMn}WvkwVfheRjRYAX}UE7^_toTCSF^p04RVkuEeAP`mT@(dAq4xNHRC>m zqc^aze+%g=gh~SHRDXkkW}%9l`@yJ|2dvx|9A%Y21ds6fI5;1VU#G4+VU6cV{8zpP z+qU^+8$C1oF}l`HEhJk#nRzQ!!Kz`U0e_>buk28=16U>2XebRruWZGHkBzMNuV&)$})PP*e-1BkJ zq87>7H)a1O?1V<-=+^b?dTas!U8;%Pap{2xy{$}m?uGH||ZZ9(f)d6P>qvxN*GVmjjXMFzscy45I6bAXTr-{~rWp<{U`|heVqie<5Xk z#tO@E9o|X#7v4ce`Sh;7lXs%O{-c$F6kTzF_J}+Po`XPc|Aox|l>bRWr8G~F53tt= zF5fkZ<@l9tUt_v(v)%_}ISt`^Kbj5)mTXc3mLL6%8+_Ja+%PoyS7fTMjzBpGH;}S4Tv_j0KxV0#8Yp#z_BkgYeIViDGRJtZte_2cxDx!0HE5IZmvSvCK%*cg>P)0YKu2GTl$P_cjG&lQt zzDb}pllsz5A$U;v!6mRDYM{pgcrex>9cF?j@dI)u)dQsBZ{G8PzrmF!z9wYFbO>I( za!oJ{?JO9%`3j86I(JO`vkh!?t z#;?71qb1Qy_L}w4{ut8{$BP83B)YF68}Hy=$GeYijgAv&dvo5BMaYN0(3rY25kMG_%pDa zzMDX6lt?}21UZ8I5CIm#Q*^Az?0yT-^_z1x< z;6VNH;T$}C4Y(leN&|{lRB>@V`bq=K&jr|O#*}_d@u-~nkxUIB^9;gb|3)DEmHare zV{?AS+m84jz%bC#`m&sNS!Ie_&iTr~cQlwT2L3_)vs;mGJ+#R5?z zw~LY4tdkrg3Ba1@2*FsE^55;*(FQ_HVoo|E3IZNO4(}q02={c@T{hce$8ZD7FE^&Z zh5V^T_U_arEK2oxzMqdYUeTqOmTI?XaK32r>UE_?^xvg}Iq083bB@C+jo?_}yCAN> zu5g+S*c&u<>CR9LkF@6w+s4%%r!g*lmU%TY=zqThZn8fhZeMTSBSUBL(IEN_Qw}&mf{W}rg0K!ZqX~*Cc9OOyb4kYf5=ok%hh<4E-?k;W;$9&ve|o=?jP;X`=e<4O5L%L zuk1jrt-g!8zmkt!nZ8_-i_=0)2BSG$;#Y2igmk2-dB+8~Mt6n)##As5sw4gp%d3bc zW}k%I79ArJpPmFp@#A4!*RTYKMmZ`6={+Vgp8cY7PL<4t98`zBy1c_W0>dQn0zMAl z*8c}r0hagbb-&3_4xpf8f zf0}}FP}9}fp(%fiT{nnc{Few{h;{4<{T&ozd?+TAf1U&eZM0FFH?~*( ziaY;$HHD|f)c$6j%&n8I6j6`wWlRbXuYb7!uV1_Eb#u6=ckU`p#T1 zhzfI+K)0K519OE(Bk_u!*3 zjuhqq9%5@_nbcn%DV;28$C&c&AS=CGrAxNP7OwxM7a-RuSp(2^wgjdNHZFLtl_3p~ zU{?0A7f;0|qL4wz>5LmMI3qww_2cDG-bziYHTkCtppFc2k{49lAj9>RCr=<0?6ouH z_mva$k8z=fS~8`rxxMCO-W{duEUPRi`*w_E?9~l9P9$RZ8Ql;1^`<-C?4YFl6`06! zFM#6oMX)?l*^UTRl5-ve-Yz{o6Sw2gMXC>08*y_Ra3ZtI7|ga#;v$<&Q2N3kE+G6; z{f=(rsU$=d06%Q>#daLMrAKk_Z)7C-*2}o_S`^4i`eUJe4;KgD0E`rGm!b5 zwb>6>r*aMN%s(^*C7h^Mp`J#Ks?BaiE*kmb?VpKHn=`d~A+i%#Yp_udHRLuaR4NY& z52sox*#^K)pO;`O!HtIS(@eI==3swJvW3vDCf>5v_dFIv8zvp0flf!ydU5MNiwIqK zP93NGg0Uj|fr+Y1;ML;t#IT^kY0~I7{U7-%xW!`QY!ehGTSRi-xcq@Ic4P)6(CeI4qDlIh@01j zp{nxjC5(V^{c(OnTpDyQ5w$0jv%Tlqu=J5!dPZWZXz3uT%Ve&o51f7fs`6L*R- zo_~!mUK~6SrW|<Q>RHYAu~n#zTnvIH4{CUb&G?>f z2CH)Sz9OQJiOhF+@kr`d*Gs*FpQ{Xiu6P*Xaj;YIRihj45MuaBeyW7~v=CX;y(tw| zBoN`9^p8*gnPY4EcwH#H&fEZiK|3@0PF^wkBsn8JhP$(HFEv-Zs}cC}{K%?PVx-VZ zXgQIk2`rN;>~|9T{xsT_Kl9bFwmA)_5|tBVSXuv+#Xf5|-#H2Pe`5El+u;T|j$jO|@qHXU#a+Ei+Nb!yxXG*#f#o)iX8O zz*^u4Hn{-*N*QRWKT5(46*rk|+dd9T#+AT8J~6k&Y7#k}>yB?G3K^=`TSCT0BKHiT ziS|beS;&(6tAh{q3+sa3rBM`K1%VQ{%>%@2{xP@?V5jSk9rXAB(H@T+h1iXF(YdYP zxU-BF5twDs2g(GFA&ObMr33(LqB^Fh3#Hf87MKmax01S}rzhg)bSffp@ z7-YzMqD(*R<-_gkGDSJbB_>wgxuaek?-?HV3)0cWk(XS zxE%E9zUw!NI&<;jMN~sq5!C%yEZm`F-Q%r%DBV&u35y~yg;|wa$fIZ+mlW8-A+}JC zJ7w#*@R}WcMq_&!L?MEE0}}B;2o5YNG2)hJghL5orT|4ZtSS(Re6b;as|uMId_lq3 z&t@cdPdTR_v_ix9J^QulZi937am=(RDH^(U4DH8P^8M%-D1i3+=GWayPAfu=MS;;s zCIASK-?LfU0Ue7?a1Y1xw%{Kl=WE~=Va4lD&z!DvufzgUu+|% zg<^@a$ZQSdjL}!v0F9dWUlbmQ}UxYN9FZ*MjklA?YmDq&X5x{#=E^F@bk;J-B zzc(eqq)-AIl&n>9dg9_Tjsb6vrE|!xAE>nO!Mk!ZFWy3OZkvAkxAyib(acye#=#53tKD+~xEAHIXC{K^1bVG zdh4Zwn3o3vbbe3kv{;$rrBVIX4f8+d0&v4R%&9+eZmvi0YX4FIA!fO$!SPSCJy)@J zAk`~Ny#@A-A_-MD+AO?cB|Pd~ll2DdUOAL3JCpz+$u+7A>7((ZT;nc8iLL87D9dG_ z$Wr5Pr^ISX*xonCCH=|M8qM!7Hb_;?-xeD!Aw#)m z7>{iTa-}U}-4g+p2*e<|e%m*8`hfdO45ygBug(vOuU+*a&)P+}$*jbF`Tp^`SU_5z zK=o4jaPanALcjKawMK+*pe+kuDqgx1q0%9w4ZbO?w=P7G^T2&E!|lJ04y6&4O$81c z8Z*PsI}=Xx?tM+q*j|Vhk(bhEIR&zSOrW&i9Z`P0^uZK6Xk{bUyJC49l7(- zD#w0*b4Qir>;+`}Yw}ln+f(5JW<)pJvj z7LLUSfoxTuNgb3IA3&)a1g@uIA6a9Uw#hNc3%vF(JGIa7@Ot7Vh#L|<9|0bG0Op>q z95}?2!3(Le?C_}2@frO+ch#3Gg`E>0jK`|Zcw`J2=ju3!tRHo3geYt;ciFwSoiEI5 zoZRbkOuL=eZ{(SA42$xUSC#mG%6)+HA$l8E9p$ZY@{Y+ji6+%rMgCD&mq0pos4@jC znnN3hi`8$)zE&#-jfjrkv310n^Hw8LUYm`eTOLZX>`a-)4O^zhjp2AJGm8?4r4soc z+U>B6YL;&V^zjt5nyUwI^OyCYuo-Gj5rX~pTb=niNcF0;kOv@6ub}(y@d`L$+EX+B z81huulyIt8mimx)Nn)#k&Fon@NO(QWoT{VOhc1ETdJhV}gO2(Kc2(mbz9=eQze%ia zS8UTK1-zm0KBRTKx{o^mpQ)q5<6*D%2j-H+BP;;ha!<&y`1N!o-%19J zR3*d24HI`nEpoCKi25^I;w5!9S8}NGgsx-bF@6;Ez2aqSs6}?9dPP!*urg?gM?(MS z@#|RBZ!Dxj=PPotOY9&iMXS`#2DoUT#EBz%5sV$=9NrpQGs&q~y;xR}ty|U%M#y07 zxY~%{9kpqfz$x)xE$dBmc`CVjH~PM+aTYJ|KqC~Aa&raf&yZ9Jzkv8NIvbuaX)r0i z9uZr|)iixus{n1?O&gO5ytK5mOFgrJn>-sbCOGM9G3HI~1^rT*!gD7O8OWO}!Z+a$ z_&?8&-d$#z+UVNj;$m^|zcd9|2Qi*qpi)Q7^elfmLJ7Sr8gjoyAv$tsr%mD~Tl%MO zN-Mr3XPzy1PKuZC$SP5l4SR3NU%cC+*_uF-W8nPj@8&gkOE7_DY;S10#EsVbjj`by zq^N7>oiE%1x`&3qfxETssav}AVa%irb^Br+g;p_$(sAW#^{ zT|?P>ngrFc8;HRi@s0^NG0Ue=W4e?3+Td#@QplGhXLh%?_fx)uM-WQau3|+!TEH}f z8QT7ZnlG80P@jT(^~Z&E9%Pzj1XFWd57Odcwoxzy&ARE_Na5ieYn`y|?&ggn2;`j2 zfRd=Lnp&jzB?!WVZB}aNF9NihDD%IAx$piX>){{e0}h?RvZJmXv*tDRt3Y{a`cuDb z3#(fo6(DXt7-6;4af|l`*y*(_j(bA_nqs0>!L|aPr_#H{pgA z#!n@M>9=2PUSzh$PMdVblf7>J#tbIU1YJf7dx5pJ{Pad7JH)=Ik9W(yueIn~01K(^ ztZs*%81MXww>VO|WMKz}5%@B|F<+zDR|VO+$I$3q(D54Ok@cWG0Fwi1Kg%dOzI|m2 zWM)4`2VHvRM=2$0tHOIlztusksunbg-PQwxr($>Zc82%H)pzXr@^4T`E`J%&Yc->^ z$x{m^ivyd3?^yQbzXy>4CD5=f(hJrPnxb`Gns!8>Nav3gFK)Z`=b7JOyz;=p1dHbis1(c>vQkopaFxbE1SH@`ffXqPEF7D!# z@Y<=3P4Ckty>G-b#frPAxzQ^b@Lo+faPdiC1np*=+ZVqqhmvPCHqIYFti=t?Q!oXa zHB0pOrtUz^M9ub<8!4V(dJ6atyK7ZwXcw2T1hh}12vqrtT&vi@&{m}n;ICEl_V~E| zzQjs;Y;Iefa&hM9wCsNN451pue;^`0;tLy1l1^TaAaKSUs9d{J&#i#D%HG4xYw>gL zvRB|;)iz$Z>u2H!;Et*(UAe^riXX=yZo%ZV`SqII=T?;LKXFMs< z5c$Vwxy9x^g9-y)6P#q8K8gxKQk}Pf+qM;M`BhD_8C?+x8ti{f-Zj)by=+Y|Ga|?C z_o3wb8n{6Z6~Ko7ZyY$>x9%af+Qg4inxNXKaw0`%>5^WUX`Eevwg8B0x~FhDGB=t& z!R|!Oc-qlu7KB2#nV~rN+@_d0l|O;u+fjU1TXr6A2(VBz-2w$;K%Ho%n<loF6dU zJ`t#9A`5N>lL7HIY#W6!?{vH5mK)tXb@M|lvsOu_{wk0*b+eWzwgzS=D9qdZ%(6^z zd6r`JP4m1`$?r?-%_m}bBtR#wRnatQr!e=!+Vk=r03YVZI~Sz)1 zuY4i2yqDRw-M+Vdr`I~C!ZW6n-uN7{P@fazxF-I?-vh-|AW^5WGk#=BVDhNg61Q!V zRbv;GpyCi4h)P(UDzAE>F>ccKbM&e7D-c%_iGBfvI;#6)R)3M9=c4f8mw$|?!qpKY z0ASAV>2NEwRU*SJzG{05E}Q=`<;T$;E7RvdVlcbt``&tkv;nqXtB@gEM z3dW9^fSB15m?ALQ)(>873gT5Az+6Jl`)_oT6%D$)-UgFRXlhL3(rFMg#ou}^VslSB z?T7OIF_H(mPyz6P$}HoUe|=sX`#{GtUL?22_4)Yohoso0!WiL+9eBB-AY zLnJ^#^f*Inuq8DDOaf{K_M3_JE*G?ro(WwCOL7uyz?MY^t@n%mn42F~F5d#SwVYpi zv^E@so-ZVrh^%*b6C`G^P|!s zH8rmK*r9~EVsSqo5SVjB;XvHsnHBy7pWqV=Z7WQzubXyM_WI_!f0wj8e;A9BR6|Ve z*wz@)fNqd-v7${^;7K|M)NL3pFJfz*u$OZdL9PIsb;`-452_u{Z?EF-3FDo7i4&}R zD|P}Qa`yAufxwFlE8L>|oY)BHm9-+z^K<52Iuy1pKII~_LziCygn9uf+!|wxAf{lB z>JRLleT~%8Dyan%tNJa%KxN^Y;A0I-@VaETNcRW$FjH06OkL_I9$3zgpY;TLMo}< z#>tSt4CjHHG=og@b{@M$X9+5OX~}p1k`NuOH^n&SuvUGO`ppGD`aj;=4$HA_19i!| zbtymGAGL!S zSP-%K&I(3KHt+OBwSrhsO9(U{5)yL74NMHmi3L81WkS__H?92)UdDfYdo^_6A{MX1 z5illy0pe-UpRk(4a;slK;pR-mT4cfGutt5$wq&G(o6g)ju=@4Ga+~-<=G-SwhXgWY z>np|^UPRcX+1@$jrHx=_wFyW#3xJgq5ZDKyX!|pEuL4BZo4_)cvwH(Z%Kn&A zjXV4C$F=E@qLnnLezHBlL2Rh6L;S#P4Z)=ou6}pxWnij7rT4U@1!T47;v$?UhxPXl zq{WLA<{@Dj>dDZ^L4L3)Y*t+224h^ho2nQ5Xj{zYAUzfCY(sh$jt-u6)RgBO1GK?*T3N0%oY6l)+|lh4ZGd=Kdv3>dEVP|KmYGt z>-((bUT#b0bq>e*JATJ_qAeEw;*ZKYmc>P1WA3|=6cCf2i;W%ItERjn-7idZ3Z} z%ETN|tb|2h%8B&_1n70q4+Z|iPM$Mjslq3Mx9rasMsGr;oI5TXTZHSQ!Mu0kN@Wz& zeuk;}9yrn<pRfjWlNs8(3_E6e_-|tPsQjS` zt*|`^b&##1>aan<+m?1R-j|nI%)OhtxDqsP&m8vxB&cyA>uPy0IyySO)XFOdvPC#W zicL6?${c1ir|aKy9Pj*ik+%sp!)ki=JhgHa>0xi~(4KHilvaE9VYzVF^rlP|d&1mg z5VVP}EaydpfY7H{mDs!HUEhF*RF*!Gj|bK~N7(}Q#AT`F%={4Qhl(xw1k1OPz#^7` z>+s=2ISJ+k-J`!Zdd98;w4k;S4Z2dCY)e~mXdckK^f>!vTbZ{JTqL7wp5AvukBwYI zP)VJs330J&Iwrqu^!T=eW8i=`DK;S`>w|{ziKBU3Y*UhNL-7b2*2v5l_y1ic0_kFs zv;;rCU@>)odNsn7_n-|)y~zyU)?K&JrZVgiApsSF8M}#1|9|JUFy~uik}@N3B_Ps1 zgb*;R^m}f|f12EqrTDLNLuLnTnru#Z4i?m5$N?H^p<)LSwLK63m>l}P2m2-I0zlU? zPpJ6iVtO$Od#~B-)Tl(x853R_y1vMZ4LkH({^2Ubp+WXw`RxlIpvlhsEK%eNrQhA8 zA<{0iMpN9>7ce7O{4gbhVw0Hy58~*fy5(ikjGGx* zSe`S`ml4Um+w|(PYJ+bGvE_U9OiO@SH11+1s!L#mNf#!3*TICP{0Nv3Bs+~G+i_yp zcIjMeDsgb_)zrSXO-|Ala4}J-b@(Z@$;ruEe}!1VKYQ%r@i7tBrmZD^%+hCvE`g~| z7$mUGi8`jCR+%h2w8>~|g$xRoO__p^VOmCu*R%~u6m0)OJHD3r>8uD{GE%Z>Bvi|cm z<-m-Hxx99s>|rNU0&Uu00qZY&u`&&mAuN%h?63!7?;8 zHM{4{KSW3y&0n1H*&-NwDyVbDPDC$96W$B&?NjP(d=67#ez<%pXu<5CH8u;L@>pXB zf-E%jk^Ap8y=}nc;>BbY;2tF_V56Y@(ks1O?JA_2IBQ?=a1YAWn-vZg*?>F4dSx2i zgom~yX7p?q{mX6t-9ARoc)d?BaNZk@C1(w$4n&zCRUc~3L$%umJT#m`Tf%7X3CCZo zn04o!eex~(QAh=t(Icxs61)C;c4U;*I$rRU+#oW)L-%cmb=_ufqVAp3u;#)x6|FLoZTOHR5i({f4owu5PM@1<5kGZ!p^B`lUo}<$D=pW#+0?es8WC zc9c(y7)LwwZgViK)T9otE-B4xaM!_O^t!=MhX>l{LrrITJho6pU+D&}+iePbN25+> zacz1T=nbfwv`l`l>T-`AEJtf@+E3tL3?8HTAEiU^n}e3+*q~*e3_-QZU8HRoFJkqG zf=A}e?uKx6C^TK_$tYpWD3*dwIX%#0JEXF*61&G|C>zYDzUGh`lThEf zRABcx_#@3FCVFk1D1!YHZz{!61vfaWNVv?um_Wx@If4~%Y z*v-Hn=fi#x-wXIA{6*%!Fc4Yr2M^W+5u7J=nr?sE z^{^@C`n`*|ljl}{cSNijUmt;2ei8nmmdu)=O!G535(J=0%b_*ZwGnh2EE-VzjVG`bVk}RCFQt`!S*qgJA}jJ7|3eLu|4gytZ#~W9yBrZ zdfP4ky#508jd#u6VBz=79zxR4*lu~a1oe@fT08X)&b!b&Yc|0gnE73X?kD*LkG&3v zmdnuJMrM$k*TGx*Wj|QI&3!+aPR;G&p4(x?OkXDZQaprt8B%vmee1mFG?g~(a0w+NgCj57U{Typ3X4jP=1IfzAWAQ32E(O|UgHrYOE89t~ znh#KgxEwGMq>K&Trqp#@p7Fv7x1Y_5wUXnxZ-;fRvT`K@GIzmsA?dm`u5OMP#+@*Y zDFpSp7HAl{abVs4mm4SF{pJ(dTTT8U#k)<=`;hFD`%TErmm_p}gt_N@9d9ioYk88j zm-ulCJh*j_N;Re>LBtR>C!bOeMnNLpe*&u^Q$2wZD{9ay9=m`vE4wG~`mWRgSbLzx zO9}8mRtkdNwYBxlgy0K=j^LTJ|3K;i`?$f`plwA}*N&P|sD0x=WKI40Aa?DK`N^2K zs95e?h6K^0f69VDyxO$YiWps(9T$8Io*MaPLr2J!R43b zYY55*bXfhkUcr6oP;*vx&AjfOCp&IxecShoU&OYAJx4$Qd9`)}0cGoWn=I1t*2SQ> zXR7L7$QS)uddBF=+}&6fe1LPO6B!wfw>>9*HgVg-3Ux8Lo!5=UH+cf}g zC=wngEpOwvOg*ds&&J7=mB4Z^sUrB73z^#OFT!@}6*OaNskLDS0LQMMeHSGSpO~0f z>TGi0Q7hh$-HDc2JG-qWgX4F)$iJp3FYXktc;DKKqxcP1sM7Y8pB}j%tGavap#Um! zl|M!9zrU-)GJU5VW<<=olWg{?G*s;{sq#PX!j7(SHH|tU%L&UraVOpxUoo=w#7Iwd zQBZfI%ZC5WEu~KJmsf^!A|!kRL)(t>k%p)DoBsVS#JkMr=;-7nna$tlUo@Bg)*^v} zV2k47w=#ED3tg9|S1_OMzqrhMGjsXyX2*5N0M#giX3VFdGj-)&%i7pe$$p?-y(|+| z5Ip7_#I0+kpK@BgbAzM`MZu0>8rmRCcprQ38|bGehpi0@m!aW16b(1k+#j6$4qPXR ze1keso@mlv*b>{)Ak(z^sbm6urz_IHj^TVhG0>m_`4dUu5cc5@NH2k*m(}8!6(bP$ zcAXDP8SN@>`F0@02NHT=JotA#P(}dHg$2$12 zY(~g(%L@h2Kl`kWvYd@1u&%gw5QfV(m$}I$ zeNZ;#&qOy+Y$0~{Yu4+e**m$(#^BQ22OX=wh%kVPwuaxoEVS8eYJVz^gRmgrgJiFZ zfj;$H=G)i>weId!{w$01rgdaQw8eN^vZg@zO5&bT67C{ z=5>t?#9+#WbTiK6*pC#HaG2|{1K8EVZ<-$+odmnJVvR_ zDe6zx<-VO7Y=CU+(}1X&D7~2 z9hudrAf^kSa24MUoxnw<8S%^t^sggu(h7H=cjK@V0vXP(7GTVQ1FYuu9}>E0;RiU% z(-K(y;B|QR2wUHA>2J>$mBG8Y`Ss9TN4o5D!e^XxRR#yfo9Ow}Y5oE;d2qndq7)0n#tky*LYMwfT6;r@d;BTuS0iW^9CN4jM?|mD(6!A%039vSPrRipv-&h z5PF6)IApcxiDe!gE;Jl|)6ntzF))jVXNa6v=Djj|bWGcKyXbDjBpT4Kw}-FGnrE3a zGV64$=aPCYp(sugfkNCF!42CE?AD1i;@x^dOKT5oLXrN*tFz!<6+Gg;K~gBMyDF6D zm45P!Ul;GtsfnfT7y0cq?uvkxI4qz9IWkPE(lZ5G(Vjw^n=@DHMW+mnF1nm746Gz( z>S%W+_2D?Q1OEkOcgpDy2=(%$dhq0c{18mbi3`byN|c;&Uc{&AtdBMwh22cCqjQn5 zIJ8-H$i;@rE2%f65v0Fcue7IT-=b%KeoMC{Gfic;{jqHyT#19x;e0hoPUoZg?cJSI ztC>z8Ot{EPkJL`htDei^!WtJ%?(|%Fu*f>g{xZ$;tz)0IS(xO zldKZqE^*Z(4)r1rCUNO#(F^jk>gADKadi#vm`Sp(KJ+<8`Ny9<+<^HD)bO7E^b}%V zT}sfrMQ+?vmZ~@FQHqJ(?%848Az#@Gue5oyp)c=9K81|E(Uq=yf+axwm^1^q!7|-u zlE3ac3iba{{v8Sz+IOnC{4jel8^BvxXxH|O3CK=YK2F-_lYiz%3uMl=X8Lf$!v$f_ zc;B1)i$IzhW3YR{U12eBVhEz$*)KCv6T{BFF2C`~;y5^JJ;kd?!?CZ2uWkjmKT>@* zzF43c%Qat6LE*|+%eAa`nqnoo~&*S|nH5UKr#^O)7=lChbFp>%ke`_$UH;GiMuS z!<&?s)lSXc#2}u;y?kg&&W)6ipAnE7tFG7xKf+VTigjE_Hc9t@Rth{rnQzm7ayZ$z zPOv#xSDPK+=fp%qFyWa`h1@$&ioKqoOZp`9`e|lurxSW@MnyL}B(_d)2N8;;IdtI# z1B7Y3D(`HYg9RIIKNh3SZj(=+h(}dpUo_(SDL-vsCdzDLFBDj8to|p0yTPGD`_Y)U zPS0O;^XI*|$bO6XnDt{m8S-CxK@$fXipPtHUxU8$2MM#RVn~4ov}yNc7x|EM0c|QS z68xpC-#QCBD6&_^epm=-iFEX=@WHBy^9__&m%Rq>L6NKGBX64AU{a+bmgU4NUP7IU zwT9o|fNssJnM{rt1YS(g7wqG4v|cw--mH;RW92d1f8)h6bx07J8Q*T*FK0uGRTNi+B1$NQ!C^&jn+e zNSdFNOzxsz8%8m7nK1}A+mjU!;kDOo-r`><~-;{r=EDLQVoMW7XmeK}7 zP$>Um2eP~xcBvJ>S6GL65kl-5AqWLbW}hgiFECwn9bj)G5CieEXPuH5KVnP0Y<*ex z2#2Y*&6*C|%UM_sq40vSfRuq%L zfOjU`>x=h>4SkAHwk*Bs`i;}Ww3l!M$3q*=ij zQ7KHu-9t!KK^4^^G*x)bjF9APfvqq$X+UI;PqhawyJI?@%1D#C>jiJamdE|}p!y+L zl*MWTSmOm>OJ%zQDO-uh67GjE%S3c039k|{CDx}+dwXZ_L#Egmui*@EFxk_b3COe!;?;5AdH0Pn!Aq&EG_nDaBg%6Ee z0sUoO#KBaqVY$w8S=g)zrFoXB2|0L?tC?_yX`XKHl<&iwf`$ioRmQBYy>cc%PfGN* z#MR%8Sw>?|CZP_A?dky9B3LO69{v22SCL7cUF^1lL5mIvI9%_ht;X;M$AlM=%6sGL z(dNma78Uf36{x;Bfcsk5tS-rDSB;$I)3MBoAXzD5g{0Qgl^CwJedF?IVCF|LOtVNo zF>mE}cDT<;W}KmhW+BCMgt3LYF$E*_{9kKlv$bQke57HVMbfo(%gcn{p@Fg8TZOHR z!166&SAEE!BN9C0q_>CNUPgSKiJ^ZKaJRMHeDs(Mduu%Ihmv^~6rbjEf< ztl{&PQ%+fzes|S1&#QXr$maa`w@I;BpZM$L4Ri{bC|I;Ue(~WN7Gc3F3l*FnHpo_t zr{o9C=2%s+%phsb}>l-QnTVF&F76#x+Hp=ip->j^oNO zNP5PGF{}8J7XGg$0VHGpC#=?hVyA6T^yH*Ak3`*$j?!UVXsG%iB?LS`doV0sg#g|g1^Gwsm-Ef|9=i^hOhI`#Ki*H}g47pP_S-EVh& zxYk`ICCo%~&_Fj%%SiNPAxPDkfhO{%sx?cD1fDG5Q=HOl-_v1~H5&;;#9jhB-*gIJ zVIOcfHIKHgX}a!)FWb@i^R_x@U6wOM(XBbO`hb>?qod&yRQ|hBrm--JVK>FGH9G9GyAYICctGkztNIiNk`Af%BOf|g_}A3PX4`3e78P*Ji>z4 zk)KT(?^#DuGizU9zh1v>Aj#BFDI{UZ)4C2v>asEULdm;2ye z-gSY3OCO#KP$+(xxP!c11e=UlV(ZV`S@H8Le1dN8|2n13deD53&x_bF7rhW_=`f4L zh2srE61btq1pgfdGipf^k6d4V8erGG=@tw{AKcx**y&k@qL~tc@P7JIcO}`yGxtJ%L0P zj8iDFBA6M?=>}v{E$3ULo(=o+=~>G>a%VBK$QgT*i+zQ(v{1pfXTG&^l-7f8Iis33 zqa~~6&ewZ$#{oG1fg05$^AAdN%t|T4sX&4@^U4S8+*qb+RG@bqK z-w!sJn|h_6FSDf~y3_2|tu$V(FK_+f7;)L5-odI%tNezAMbLn@Vf*4nMCO_WE?tP}v^WhHbSC#{{p2Rr~+V;*I^t!R**Y5H?$XD!sx7#T~LPqpn z2bn-6AVCE`hzo)9bu;ry)0rbwx$QF(_{#~KPDmSW=M7P=^TR$(byg$K4E8- zg-3enPDdpvP5OeQC@y_?>L{ltRJZx6+2>DOo7B>6G=?Gh7Z3S<2iwbfEjEnLWN?Zr za<0W2GXM!5XnA=HVhmNgMmju*bK8!k(zO5{{RHE^oPJ>&o6S*jpW5UeeJyvO#{z@Y35W6;m}nUmZR@Q!>fY^&nOk z*<98Vsxy z@|tTgTt!~5A_{sjV2@mMkq``aIKZJ>E&`XWVElaRGAU(7rL_Ed%qn*d43vCj_NTax zwn<#F3cAGTywGrcJRcv-Y$h(RWatD>ScDYeMqNgyeS4#~xZ%d`ItE2!F_rr>uG7Ce zFD(&u#&Tk&*Cl+_H|>-UQXfZbc$GV>2N}@`fc-N^vG~m4uz??&{2#DjAVVQ)o-#=g zDJg1mnog%IP-ZXAyVNX9&Q2taOuCTLEZ*6f%rU&o{Z!C9G-;-DUO}eO(rSUzqOtFQ z<>m|a8Y2bsl#F3+S(4O`K&O!?9QD;-9oC~ch_B5DY5vIDoqzS`lIAR_j=p7yq8}hZ zcHC3Mc}E+XDcY2z@2fGJ#~7omtXxj2bN=epXe0nxj)QMBC^!dmbD=E;yLRpB(NU0( z!VY^|3oLwk{Th>8TD@?m(YM)?n6Iw6<|n)Xh;B#k{*6NX8Zm6Ld#-#2!Y^7+)jO;) z3u(w9BU%`FrPr-f7dOgS0hT$7<-aKIv5Uf5ri5g`)#q7dy8%DYzR(zx*j!5!>3aX9 zW~SdVePtJ`v{=QOr@mfm@wtVmW?6-reH6$wtzFHIeV_{OD{AIhW#6XU>@qDq>ViJ! zNsQitL~D0gcSREYbWA<$ue=vz#gwndE!PQ7(A48k@KxM{`7yX1BicrF`J}`i#~;anFAGva+&sqv8h+oO7M% zN`*d}npngq<NB?-)&a z{0Rt(dn6v1pL=v?Ecd{)zW{vgUHxcTagTpRPWusfCHS)L>f`8~E!MgDEdd(qy@;^S zM|85V-Wne=13ru#E>q3ol2tA4xj@p6V@B{Z#yk#w>==qEOa0N`Gv#waw`fwz0&2Wk z*E~Ecz=m_xIYH7Wg|B7Fv}X->kQ*B>2o#(+aiTKC)fvEDU3EXY;NcpMm!3;UH;EqI z;LYZ5&?;x$?r_b$UuTo=7sS9DJKQ&I^KQ14AU!!0Lf5LjG2FC+y1$Mr0x zlv9j|zxQv{1o@}S{dIOd|L`fexKX027f=4LxW_TDSi|aHl!6SL&_!hc;0!Ug)y1PK z$gvwPUTd_NCi4~I*iq96io6 z-)27;4??TyuH9Jw2@993)3cw&_w<%J9=mVbtf1+h!%WJu?O5_qEU)_ zqrbp*;7gie(Ot6rd5ctdur1BJ>8*A6PdXnD591z8y70y*uS$C+8Jm5e&6+6OBVihG z^Mni()0Z#k&zeK*?>bZ>^+TVGsE-l=G5ZhbWz9m5lUQg3;h2Z4=*Mb*!JqZ8Fz zFg5q8Rb4hcAEWAd5Q0{9RCHLrIcxKv^~MFC*HciJaYiLn=n?DYOaTHSt&Vy>Yso#t z^Si1nJwE4xYcyp$%#%q>D+}vUUOJUG;xZ!0sqRsw)Y!`Sz<0#ACbxYed2YK@+lY@J z!+z{Ux82~VmdPU14%wAxxx`_%F@XpiFbcbQ-jjGRKGm3)9&|~9{`P|nh5fGlSe{onxkWSTzf+lV^=#Q4e#rOptMhMLXET0P7<*I` zobqPh(GFlFrnB`NNtGfwU$`zvGEA`>IFEYZavnijQYZB^h$W8Ks`T?KP%EZ_h)N%4 zGcxmq=SBk%A((GYL|{|c z@NCrgzgm4BH;>&&aEvB*Cj{{8z>|fw<5OJ$cm>Nmg>1&qk(`0#ao{L^3+69z**I?a zwc)9+O9Q0kkpyKP56De68rOlf7X}q^QMW#8C{KJg67aSYyq>;rgn>I4o>Z*1^L_4| ztCwpRvU0RPAv#J`b|`Is3v!;mtW;1`6>4qMjLZFRLE&5gL!}=@1nJ(zyPp#M-5&ZO z2qFfQ1|zIPPe?!HfSoNBe=Y@%cY2`D9z-$Yc+I5)KgJI-xJ~BOR_;xh4U~O^>E`ek zw~twlK5pF^+&VKCr9g2`9Y|83%sG=Ja_!ExW*`pX`7=YpF|z{m6m6=LT}w(-nZ!(` zIYAh4X|d?HDk#|N*Z$+tf$rX*MC!+y zOH#EsgA7VPfh90Y?YV1KYJ?or!zI<{M#yp#^@BfMkDP0C1)knB6P&<|4|nf*(VT|B zn~HDmYo}C%&F0E@5oPU%&wy{)Du>z|URSa~Ap+_s^2j+hu&a~CVP+SVY2@Zl#$C)t z4DvOyJi(^scDbuthwF~6+YcHU`AKWHh94#FG;bYy(&q8;rd45;)@^duZE>Y8m-k6`zxGN<()ITag-4&Z?2G)M zl~=j0C=6%ISQzRefs8hy0d7 z!bpMj)sYvst(+!92o81eY1F3#SnFs2G}ft;3zQWqKbH6-^PBzX{`RL>=Xl+ZDWj_2 z%Q8wQtC`2s1qh7M@U|zJ$#;?opQ!K*lrTSG`dM~d=?osB5)DGtNL!;jEBc@Z%rj@+ zv0DVhELiNM8b;Z#(56NXUjY3S5>lXNOur|){=UTh`pnk-ark&n%d8!d(Aq*nOOPV$ z_8#!%ZOQ%LD_`N3fqG^v#QDbjzQ`5G$-VB#WLs4>inDsmN=~xa>){!6w{nyu?&(>5 zxwpWDvOz$$+5Q~0dgen^zCCishtGmk6JGpTV&+otLFs)K>rE0^u$0gFl84&Z2kp4B z3hL(_7-}kJuMOl;lRk{Bk_&8c5O&X2hE4cH0Tv#-@`vWgE{wjthKGBQduLf)O(}BZ z;|}n`i{~izkM!-%X%+aoj=(6)weu~+nGo3C(KYIZ+O0Llia(O)-fk*2@Mm9n< zLkrRVJbQGPzicvgmi#?2MYS|&N`7wRJsOVvEkTq~9|XuSKa;;>$kzHOC66avWW_C)$ko4ZA<|bN090Ea&d`lWgBt#OXV}g?WP8rb?`B-QE0S^fY?*V8{7=OM`Z>!8RZ) z(V;vW->Vc-Bg=0y;f>2*MB>sLggIhY&&3ZQiApp_lsqN7CQb#D{cfjAzPzX-R-eYZ zx{A(mEsb`03yj8+_{eqRdnI(RljvZlvSt@*(ff33x<4VIPE|I^`9SuCcQ)jmF1*tp zy(@JL5NBw=#_?n1-7~_b;)zLLBM@@zPZtVPq+|Gv@T8{Q0$~IB7e07|f}p z2OO&LP*|zp(j#baswKlh@Dr@M?RJ-6zubs}+MwdT{60Dw+pFGH-~&j1(!ctB?k zcnJJ*(IKpS4+yA_4&j#Ldysi&Og3N?GD7{&w-xvB&VFS8U4_hc@dlJ&E~V|$v#A#E z2O^*XX;yx#bsR~H$6By1#%Iai>lQ|7pzetQrf!k1z<*ghf&XfH4L)h3a6Pfmo<9Z^cl8Z3x9L8FiptTBOfd%G7pl?jZ*TN$8DOFx?4_2UU>iy68%B zz+v+HTc{#}E=+N3s#2HpJ_t;c#?}kI2160dm%%5ye%p?UPXMX^I;Fer^~RNSm+3x? zh&D%U1?ypdpSm}(bf=4C+pY7kl}K*whe%msfyCDS-0AO$#5)dTc#+h}S?eOrAj0;% ze;K~C5hrLH!Eq?0P<<`B5#YNSl+xOmJULy_qwPB$>CpSAe|jKA4Z_@Ec7X)~)4a0J zPFpe3$h!Z*CF!AWMysT`vL~&w5zr!j_I3BE>z>hd~&<&NITb z_C>4NgP)SU6=pj!zP|j1=&noEXjqi&hLV^SOT$jkZ2e>EB1|mFa9eQY@!2Lc2-=Aq zg6?Qr`)9uE5H7jOLHYR}RpY<{POCgbEPpI=WRUTd&%FI-Mb4TFv@?quecc$iwCsFT zzfC;+tV`$4|8EUf#Q1bOhD3N0yGU&y%1{! zr6*|mWWSGK@=0%Qy9+vsTH}PoH7(f|i#R!S`Sp$^5OPFs<#*vk3jIrPG3h9*8A=0_ z-M_Xf^TkfAoO)IsL|<;z$Wda$tqP>&pgBVq5@{w>OZiL9d1p1eR^1zE*_`S#Pl@eV zEB%BfQqGF5oWC7>tbFRIP0Pr}r=FzPHJhBV<%X5cS0LOfV|_JN_BJi)`_+}QWWa8M zF`=AYHtAyO-Yr8noMrT4Q>v5k;Y*u>Dm_zq%p|h=x5TQ`f-gyYwxNY9K+Q4W)Z?!| z#7SSxgNwlrGTZ3d#YqZ#eUb!idurqG`j54fu}!0}*C+qyuzxoydY)^}J@LV%Z^Rft zW6%D29fPw-Cdt*Q8TzUB*8x7HM$efG=>N58?!~i(PcG-?^%u!b%-@impN2l4`r?3+ z_GaLY?}x|EPeLP*iw(Zxw#8aX3$oXvElfdIf|oIhn$;MzBvVPKOr_sNK3_!Tm*b=x z96bZi?I+GJZ$bFIe=xO1wZQ;!nTBox?Ws!BGH%Jw79!JXG7hrS*hq~tcJS11&HF?f zy_lDdd>2AxfhAT^tK<8@n!`a7maSo-A_n>|h%o{3k0q?yBha`CYWbXQc}8wud%PWi zb8wQ?KD`7SqG{+@PnGJ#(22c2@dWIx(@&tRI|1#&+5aLT>NM0qorV)v1H;&TDa9i3PAF7*VQ1N6kZ4n~N{^Vv$ll>5kr+Rjqn zI`DlDJFhvb(z1!Rx=e9;AV0sC2m0zLp0;(G!s^~Q>O_NqnwrAgEo!heIQ>CxRWCsc z6Pcdi^R*q`y!sQO&lME;$UNZZ6E*Z%5(Uxw=*ljS*YeX=reYXY(u3d)T(en1ATjx| zPeQ0~4RnQhs4CMRt9dxd8M+YmiC?(X5~xVCdj3R7K=p|3qbuRSD1gtSCs8EIWwPmJ z?=F9-osrOTr5{`!XW-Fsp3Sa9jGJkzV^|;Y?3ddDp6Ou;0yMZ176F-ouuJ649MJm= zZvM>VP)|^$Nd9>3lpz;1#(m-+UDoX%bvRO!tFox)eeOz1JwC~Phd&*~+lc7w3gV4^;Xan-Gk4D(7zJpqRaLm zCT;DPCBNTARGQu@bipvNphJqwso57bORUwrPs3ZWzoy}(gEF1G8;&PXQOagyvCcYN zztaUoSH!^OX6w!Jo?_%x>on`&z zBnjFeMWVN{{Wj9&_YlZYHn)a*T|2r2^4I&Rp}!UY=XebrK+zuCg|6z!Ryuc94BEm+ zB>J4Cek|Gn@GO7it#g+keNAcl#?9T61wn!6k+uimH~o?^5HZw|d}UwJEg$qq-)?$- z0P6}pSnJNKA#x!;rPJG^K|q+1abd^7onhy_Jlg&%lP9J9xScbx*sk z-2BZRJW50WR?k*N~iG9{r}$vX_ny=Q$;bIH^dcTFB$G;J+zuBaz$x}ITT@qb^? zPjA7nkEmmi_PEx(lO*WPPR8`STZ9FKcXoqvP<}E^(h)C zMAQX7N%}P`LPsyK86-@zQCN!>uXa1fCxB7~!J|D;8JEzh#Zj67Cx(I)IFhc=GkO4O z0%#udR_*vT2pzqbGCH@zryXe_gzUBBGBjF%x;5qFoQs+GFT{#8tlCpzr;PsW!NYuq z9-$|}$clh?DQ-b=Nnkogm9||Ug+BV?9Kf@69XLHoe?U;<&Z|oA+2T7vW&M`9h(@HC z7xKS$cO~5;)Id70=aV`5R~$RtEFtg}SOB&8z((^Yo6X`*1Kl~VCMV8)^WDZ{yW<42 znP{u9L1ee5EkY}LFp5g(Onh=;FVTh;#fU;zO}H^=Z&HEwxV$SBn;`SNV9in5MjmE8 z_G;tO9;`7y_h2f&;V5kqc5c9Az;pP<`E<5JU;(r|e&rlZhMq6A5z;nZktz!iDai9i zKvHh;T@zT66amzT)S6UTj43=}y~dAq?R{ig3$qW=zun<^^AJqIb&cNQ6u!lzxGbB( zadZlNdY@U)DLj8us7bqSP@r0=G_CJS?YX(bcf5Yeu;SGKO~k9#BWtRc#an2+?4|sR z#T_|BzZXP|Fz?u$cD)Xu&!<682%GiVdCpU5noBl&5jJe3*QPB~>!q{}%#C+k+`#!u z_d6piQ0RqR-?QLd6EfKjmg!UL(fkc=5#T{Yz=bHy&D4DQx3YV36y@!nZRf;kd$Mn} z4?iBgU-3{}f(F)u6$AnQP+bRYJFW%Fm!Ha5yszdPnAh?DR+=9T&|wg#XA-oTye1Ta z8-1EugG5Ck^VGE4qn!sdU1wSllD>TD;4wba#T)lQ*(+mN+C~ft;sI^yTWC`WJNGrc zL0<)}PL=5mGv2q6V>|7q$RD}($}EeWX~Q9GYO#*{HPClK8Zsu2+>C=q=4b!_D}6G zwB-V3uUM3DhHFp6mjZHyd?-U+lTB)-sRVjPg!TQ!MN}9Id`iG!g8B-0@o{mnhAS4y*D9&6 zkC&pYhODc%vju@$PcqWJ-NC)_xW#Wu6}I~|_p4`U%vtl3&KLEeQOj*EZ$`*;{x z-YD+E@^oUpZ~yg`YpXqo$7IuFer_F1$neK%p9Fm$5#FDhzQS#m-e-aQs$pT`5kG!R zc70md&xQOxDB2%Fm>KbcymagM6c^)9OJAj-kSoL&XUop`E-A33#q+6WgRhFb1KKDq z$`2YXlyC~SBpGE#J?enko{`&5(&o15b iUnlZU=lf$}<&32s>tD~eA6)^zPARD#Pd;jR_x}TQ&*wY< delta 102213 zcmaI8bzD^4_CL-UN?N2_5d?`*x}-!vL`o1u20;;N7)i+iQ9()&r8zA5c#Z5(|S3ZcL5bw}Gn+)!Bf)-@e{ zG5zb-w)U3MdTxx-+Yl?EsL16&Iz%}g0@9U-%^*k!*1ZT(z*!J*lvnh!C$HEw@GoIeQL*R`G+O`rLQGUL8amwp zCtro=i`94KAVN_w@#v^KbkXnj-Vln2iosFbkWX}e3pMNjL7XQ9oP`S&Az66sI;0tW zcHSDUQ-)Yz^ai9HeOdNY^v}zt$n$UTeoeV00&D5dHXk9uad>9HAPj-hWVe{+ID@YQ)PXR5Lk7_`25X_(p>A;UP zA(wwYZoYZ)xK$sldi)4dFZb_;5D<*gfv|9zA;b`!EG!HE41maBdtJ!puOAJS7wSRG z2$clSQxk|a+FT(IJ}m{=!{+B8PgwU3ptivjqKD1mAd>R4#*jQB`W!hc z4F07Y{ZokwHnD-sqWxo4;7CX44V*6oorWn)!H)_oAbPMbP4U;IKnS)ngTBKnk08?W zol6ii1c||?MWD~+91ox<2;Q*%v#)ZoQmz0Z8ofsgUwZ^)L(=f$)6kvh`e=vf$gN;l z&KhttpigkE zGN6;g0cwI(T)+~$M~VEs| zT>L~nOXVzXkPHO>_50d*1(PvGyPu6sSP3$2}I5`Z8D6f7A z(IH@gH-Dta`k%k0J+ZhyThslIbxBD@D_fiY?{iVn=*OeQa6$}N@L${gwMt2>4qnbf)}c z%!x+a%7Ey~U%Z39K=5D|#83_lgNhKomkUht-zIhmmPmk@VT$*VA|wsA8-jIjK4Vxle2&xC{^*sT=Ojy}t{53}b(+^`}&q<``f&dPzx;gxcrz~I2aPj<_( zc_&{ls{qU;jX=xMmkl3AJF?Ki#)VK8EKm&&VrvXaIe84Te1fXrpEZCh{~5rG(o={E z?t*{;>l8t}gs^QrFq@xoJWzRV8Kex1=QE(W%jW>z$3m5m)QQhHUySymgUXjHA$bU1 z{ra!>PVEpatWg7HK@!n=bXw(#wU7`3=Ia1dp8VXi0jh?1yZ;#7l|NF8uCFF4fAtxP zBLW`be;lyX$>CiCzSpX}r1iuJlJ`T}Fj#Z}Ua15JDv1LJ3V$2?d-rlUWaQ7`YeoB~ zla{~gfZihDA7enMmp36z2!7fFdpKz+c`9zwA9GGLsXin&}7519b- zTZ6RA!3jTyPS&G=eWsyOIOrF2`~M7`q-+XufMD%a;IS50pwrR*qiHbv=HF&S5;Fz- z1RxZNfTgzqqmP)=qPdnyq7U|I%4L@z5OijLLssBhyMI?BNf17r1q9!z z48jA5T&Mo%4_yBfYK3bK!D^B1%H^ZKpsNTt_84#h4v7o_2SbSS(P%G<|MUxVe`yHt zN#Y0~EZShm`L8GUccJSDNan<$)0NlkLq`xo^fLUb27Kx|gjztLy(|K>fxEo=82Wbd zN%Y^Jcp=5=k`7CwTa>4&Nh$!y!JYyx=X^jCLLdA_nk0TPi+CzMUJ;%tqfPPJ-%q@2|*%Vo}nW}#k#M=SS>(;@;S6^D;d zczop-iNDX~hYG9MsVujp{{BwAQH+$h?@uS&;mX9E;j-i$-yQNxx1KVlFk_yMsp?ac z6Cf?_Dna}N#K{Eo!O9Sdr|GP~&8rZ<`7=bFz%`_qVK9mWCHa{nKu*N6iM`URX|#Sz zPb7#OTW_iDA%ey$Esod7wI|9A+;BRVeVK%kSxG-rJAHR!&g3b!!SY2nJLc^jGqWU> z$=4ib@Xk+aqF>-fEl6;7{dpgZ%zR5v#d`KCMm(&Yjk6Pwb!OVpnw)bTW+Z@nw+V)W z5V{29N&zSV|Ijp4B}3p-gl=-OfBg)OJPJ2(&RM3|ZSs@dYX)PxOMMqNcCbA0WxSngbFKE^Qg5gTa;Op%YE>^p*CiNn1Zou&2OhF zM2jL|KjWv1tOJEV&c>TyMdi=ADJ@aLLOTRBUQB-aBRM!Y;NHyBtp6 zrEI@vJ*cF}Y_-IEtq{rr zt31$5oM$pq?U=cKO{*c84DESXY_g!E55fBAIt#t%djrVos0rMqI@IXkD=Ksj1xd&2 zGl7^nBDi7{`*{+1dKLyr;c7=K(;>$^(YXuR`|#YoqJ(S`kFL=>()GkKttLS0{Bd(eXS?9hS- zCA`v7V$(|ybN-}^Q9%~y16r%Gm^6vF3dcP=|4qnTDg%JJwOt0d-{MB-gNw@ly9p>L9j z^W@1wIP~@XaUx_C#eZ$7I|L+}U3B=WTP5I%;LlLSI^~J|sS5~HM;WZ;k7F}(NG0{F zjH+bBugCi1vUT`TRcWh;d};!u9p>w_F@Gq!;?t)nR#w)$$8KxmH7pNFP}GW-%+FyF z{xr<{*#t0?C`zG{0LDCPo%yIhWx|D)$$R?sJ1L5~(1mewJ%|hAXWcME#U@El ztb0xj@BG^nqG(_MDpbH>3%r-EGWpM09y#~rtYlh?tosc0RCn(Xr{-d|`ixBG3PL!cQ6QDYRlEVFQxfJMKKDKOJcm6^imz$2k9sBtKlq0H&N0yjSiUiAkr}9CQ z5U`wT4pABo+3z=^F%XvB?_T{?H1NTJc0qCAKn)@R+YHmo!7oDCN`jw-)l=e~iMT%# z(d*JLFPv8u9Q!d-Fo=*KX%0Y|8EKS8e_kVK-TH{Oo3&6vr)&~Zfn`*{hiVQ##0Pe`gfP#Do{0=)Bu zu^8LT$5C%`4tT=}|Gm>G)REsg;pWar#`DG67zx1K9e-T3R9AyUzV+`{-aI+H&PXYk zZ2*V(AJx}S38XKMH5TYu33(doS&%wCCWWMrJ`TH|11d>lkIxY|#eLRTL zR=rL(+pJ6)HaTX)lK&=DNd{YO(|cjDACnJAR7jZZOww5|4)dF84*yb_qnWM# zinAZHLV7%ATI(aTwKUMVm!p%UP~x>^yEJjjEZ=3VW~Zk$>imhLHO#+jIh3H_>-8zv zR`c687}yWmElj^^y~=ZAwtIP~*rwqrafGkv*vN(Y87Z^9;G^Gx2-K=6(E5QB-Lm?J zZhg%);D!A?5SMB9SF2*UtlHyL51e`Ys`hAaDL;dV zRV<7{$}wR}3?t<-+r}O91aTfyYBz9qYdOfM>bzQ5vP-8tytuR^yubJ)wMWKLPOW|h z26mgX<%++bKcA~eh>94Qn^~S3PEWY{$cF|*I~spnm4wgwSH5hJ4H@X`TO3M-1J!2D zQ6IU0cP{bz%t{|@3cZM|@!AqSqm~nBR{cbzudlDEK>ZdKDA;x}&ELO}61i*ft_xkv z-{_$RYVzx$AzrlK!)2Dz>qkDwMsfikev6Tejhs<=YUdQUzUzOl!(b>jMTuqup?ub{ zEB8MW2`=7A3187`+fEvK!NEVGe%4JoB`!5%2Jcm^hAt`QxZ+((fn{$uUhfy=>gFmC zs-IEW`A~Rt5Q(u4v%TB!!ms`L=hD#GX^jeIkVBCYAlJS}>OT^?Tav|ZeV6U{{tB65 zW^WnD9m+_%6zG3u6R1~2aLDyr`ox_j)hy{V2Uk zZIg~kLk-)oczI&@ATv^oa`sgmc_41x zM1nGX=I2wKKv&tTu>EGt1kc``TBfe${sInUp;=vRELHDZ6j>C`sb){)aB_bs%c9UX z%TM6M$&vhrlY@|JhAp+fCSrt5vV8Zq`vdVOG5LYro%&%+>bcjGssu2V$LUH^;$`yI z&vuoffuuAUyqYoZ#Eido?^@?`SBZ9Mzt#AMQl=;x%0qP&E_+G7K|^ zm3H1w&7?{7wM+!i<9;Sj?Y?+bNJE4MqMI5LUaZ|6|HCB7&@%6|kAJr)&i5IarKd*7 zl7k1&7>p^<72IPH%2viu{fuk1(E@t6Y{aoxa;Nb_A%?)QYqK<@iU$}AIU!O#*NQR! zaI;}xA!w&gP4P;N*x_%XwN^`s%uiu`@B2RsI#-z0`O)*t6%8gxIo;FPqvWee?6F77 zj3wY^*5%_DE$HyhASt&QXIPr|=iKj-8GwM_F#2yI!^6YBcXgRN{G~vu za|6EM0~~E>X{livQ{B~NxA{Fi`?JC?R#}Rw*d4nL>==#0Z}{WxOiabee_Toft})MX zevYxiUPraT-($Y(gW>oCi8;|mONmRO7)6)an@g-}@&@%wjNxrFD|$UWF>yy%m%U0u z6L8%LY{z$xMaEn_A*6?s#@s=XmAINjzPU=>>{a8*VO5v)G!!LTrkW5Ky{St5%+HP7 zGa#rKr3{G7-V}_KMD;V!podJdvChb~!B44Art+2q6P^8WvI1{o8mQth?LTrrD9ZZ7omNpy-07DbO+pw;=3t!8wo=?cRO~YAM0) zC1#flhOPh{drgko=1(d{vxFzAWnWdJ?6Ff9UORrV8^^1#M&W*_M_`J6vFfXcBceyBR0xVzX@${QX>f=%eSIXGs^YgYBMwDzz8 z=2#uppOM}T9l)fvaC(P%Z7&-WwV*n_)_R#bb*isze$*T$L{Vcho&gqkN-S}VxpbKc z&g7-GeFCmQH)VI?;^Kl8Z{NP%&-b!1?H8ZO_?0pUo1k9!;AVxP5-C!Xs1rmujrYO1 zOzPVu%tCj3eQSu5Yi^mMW$I_FGhprMBJwDnVP!u)3=QS<2Y;VJwu-zZbrxZK5vFd6 z`)l2!$9=7IsOCM+0igg>Me>8+0RuMcp9fm0kQDS$brOE|`@*@OEo)alDf<7i)5*L- z_@OV?$mDED!1hyOijeN_vRhggEf6R_k6u1;j|V`jK!UX7eL;YV8whvBZRH2wE6$^^6?Dw{Lm9CBZnJcYqbpe~L?hX7rGna%Jw>_9o zeN`q|V^ZfQ52DudRo(OnDTBS=DmrHgDG88lcp_Jb{I^~*JBsn$GJU`@eT9$%MV`mW*q^4s)aYiYjv&$lxih) zy~T`P_mJP9rcx{*G{tCpEE@NTzRVDtXdZ4inXi!0Dk=K8;HfLeiW-Uaa<_$tv0?tW zLI(VLx?Q&KT+PB#uQzWFG2YpwqNc!fl-rrk?QU4ODs4p@!O?3?^$5{HZGy+PG1JRrR3W(%bR+zM!`NC{p@QD}La{ zUcYhOI{eWeKJBF0R3F?n@5j(-iEnS0@;bO$k9|h8S&;B-EZXN0hIRkWQ>7B$evzI& zTZTS_TxTc!5npcXG50>k_o%gQ<=Aac+i%WbqEPsg$bM7VW_zllz(VMt z*Frj+_2EN+t*vcVWo4!G<|_q|rtJ;X#iV`4d&?pRDqO5gU$WzF@A;~vzf$}@Es`dR zFFF^YB$e!6fS7?N0}v{hLP4XIJRv8qwNcC~=F5uvjj5*_mbr!1g?3mm1CA@(gTm%P z2y?&f7lj#T8tjQseyId-UDb>2r4p&|Bew(eZmLe1Nq6#cz*<{fv?H_MyXQ{#OrP7N@m7Yny^x6&bKjacyEz7KQnkLf>S|T{|6R_1oW?czb zN4;*pD^o2b@ZoZCXP(Q~qY3`H-qNieKfdk{YeE9ss`=$RhKY<5-GTQF__LVhH@})>R z3_*udV8;ju&Jt)`Q#L5B7&G-d^HZRKv9}99d5-yaP%$K6{Ub+dJuKC+wG@+v|e;Jt}S+=pX)aTK9`+^ z9_g}#c$3a&`=w%!JaNFzq0;Y-{`SSt+iA7n#2&gq@9@ zZ)qAM3mv=W9wtq0oM2TmSZcg8{kV|R`BSJzxo;y~VpD(YHtPLSK0k%?PA-A(JV#u? z6iyd4wOY!Z9^2ZOSMctldOx6;b4JiL%+sCfO zOvJGJ;b?V)cI@n-S&8%cL+2yK5WVpx&l1h5qy&#EiBDYTW(i!38o0YkmMU}CLraFwnEx4}SLUK#p%1pCvBRl#wn5h;22r@6Pr=niP+fm8! z$DHMiX!ghvbnm-Qh~yI^d-{0>fM;>TB{nMRT)yHpdyBaxeurK;xw#Z$HqomXHdZz^ z4j1hp&a@yXx|wTEdc&R#Ez>#PH0YeIG>RVLWUyk2O8QuMuck7u%rlV2M|qyF@QzHt zj~6(-UA<-qi|R=AlCnO^PgbJ`rSF=7NRHb%rzLNCm%KCfZ>CW((Av|~6$%;Hhz4sHplS+~aQ-Gj($f^RIfe@?goa?8Au+HU$69i36~c&IZHNR0Xy}b|BiLv*K&!>Y8+)uW>Rk=rkOJ9+YVkO!m&Svgw?yT z=ZqkDVfF+O&T^No$Lr(b1^fB((uIl5eJ3XTIz)^;FsYw0e;-y5(_0#nZ31!&=qHDB1Ek226#;P z4m|Y%fW!OlsaBITyYJ}O#FqHQG4Nb%KT6JHd&sI6BursznKm3mD9M)d#sMIoZ;ut5 ze_4*upUq3oUAwCsT{jMAgsoCk`0$&*b_e0tVa+~M4(a!N*p385 ze#iT@uFSY30X)^m)c?W%7y0OusqlWsk9E84PGM_yaU5ZU$QF_Jd7EMppW+{ZN`3YT zk0x5iwvSrjx4GA9#atF>wdlpas3AA3=TVB2kb0Mv2&&UY%uZSF3KK}Z{D`qW6rkST zVEM0lJ80nY4T?M7DF$L3farz!2*VHlBH(bAD!2u^Bn1z@>&uU%qoW>191E}@JywR_ zzW3X+S?oyEArJHl<#T7 z;|{k)QLEjlq@d#xR_U?k7@^V?-6m*$=4^Z1y9+i}2-J}^&OJ`zh+)`jLf&RMzlP3@ zPjb@^DOF&4hBEPK02`i#q~j=+;J8J_i81SD>102;OpC7XZDJdyV|U0Gqqj?rIOJ z)>OH>&k@a_VUsr(lBYD1x2dnQWenkTtb2t z1CW?Join8U?|BR&%BkvSo@_mL<2SDcK(`n28+l3l4aF^kPWOu_p4h3n8!vF`9B%Z7 zlQfv*^RKS-um>gc#TuQcCPqR;tEYnqd$16c)SYhukdr=6*M^lKzD4b6l;a zqN*2vAplD3$&m(V01^MQX%_GX;QakAN`}b}hI`qWUS*n-0FgdEQJCILnlZNlQv(wd zyZ=xajExl$&=}G&uOrQp0T7aZ%bkF@omh8`5kjvOLgdoI^lfr93#grio)X^%j<=1J zUw4umEgL3b*Wb0d&?|@{Tbs1{bI@-u51|7eMZkhANG^}j$FBP;<-I3fpaqpFE&|FM6*HdL*t_r=2g43Dhv+ozpuB!X~a0mpM&n zA87o*{%U5kSs52~9f=@V{)imzi_1psipqQk`f42wz;6*1y=5F4t2*PEI4jS%V>*Y9RFhVIi43~5Z zzaMdSz7LN;56pGXUM0Su^Zuq!$2)gCNw`oQ`uk;S0OrRDnlBEgPhkY339_{@S^^e- zJoZY`YO+a(_si{3JDN&s$rD77;U69fG*lLduZxp`u#)h$t*lYbNANLBCbzgp$ zuiesR5?k)QT9LUHd^4hBD$DA~>AQO5(X}zs!9YYxy;YL$qjooah|00cy>X@?kkm@@ zYA@!CnB&a1x2_YuJF4TQD%3L1)WTU+^Y501j7n0j8v37Kkon#4y;QTs=mK;r@hHxq z`Hx#jI`k$T^KpcbO!t&3t+m}!3O)lUcBZ*hGe!S0+QJ_fkS=I=t;5oTD*kT(0>H~> z1X@Pan(8e_2b<-hKHsfdy-~SjJyPZXI$Qc*`56a9ESm3lO71c%_(=QiR84HnWPk_= zBWpHq30jhHm5;fzeQLkLsUyEdzqK||ClonRVnYY;>+qOMW{&XDD}?B&aql&~AZm_0 zSUM6RK+RNCKX#=uYI`tx-oyif(UFL=mX#(*Xlwj?l;IC%+!7l-~6v@I2fa=mj9uj}@UjCWgztD?N|jYWN#u)?Iijg3*uz zuunv1u;0#>T8exy^8E=;ifWoz`J?`;iCeA+;NJ9a0r$p}?&`BbfNaSW7TVV(z?_{5 zz}dTjP6MM4eqNH~3nf=|$_TSzf@_b)mna;-hw^UMS#`cwsJ3y`BjKmx(-v|^k5_vd zn3?H#FY94bFR}xS5K{uMp-NEZ*-W=aH91q7VjTg(g$R?m8s*!tpzYc+Is1|)HG3+7 zDua*}#iP2xsFvWuPgCZZKdUuKba_MN+PzDo)W}Y|>MK{Ktt|`JJ-b> zIor2TsA2iXk)m3EY**xb#m;}!-HC~b9*5?g&BEKTB<7LQBS z%ZJR845jMYELODwiYQ-JVcb{la%0KiiiEwIyO*@ELWlhvK?B@@tuqf}@X{Sq54|`| z1-s753cCq;reFQBsg|&Mef-8`(#HBvU3IqTZ}XpL+T*267H;$)a49T-Gc00{-Vi(j zUPPm|Zy{7;n1vLU5pM2)zn+o#_`%F2wr@Y+T7tjY)rvaQCM;;RL4W;RXKgoR-zJJZ(B}th&a->%qy<2h~zRiz3 z6qcecCniP9#HKTY832vY5AlUpuG=O&d^ECZ_?wEJF6Y?QPJq5`D}2<6QmBtSBhAM7Ox@h|n_FHy|btOZ+1yug3o) zeUtz(5y>F13aq@5N@~;`Bk^tbjVGbvIJWS$vr`UjJ*DIR&YIJV7-Q=+{lzw~^tX(S z7k|X?vb*8Ei7Iw0XwHB*?`&&3iT~D2zHIN6yjJsdeaKGOi()A{uc{{j8Tex(~?i8(YBx{u-}b4 z=!%qDoC-hs9lVrJ&p7~QMMC1!*BfyGgrtm8sxxcSa9uQY&cwm+<6HG>sK*<{ds0u2 z+btgOce*$q{QhMaa_Z~XI{P6}yXf2H=WhZe8Lp_wEx=UfJSq0*H?}g?5@n8=0ubj1 z(0eseT;CZrlEEeo-1E-gvUtTQKi|yeRC3f_XN7q@z23xRc2jE1HF_)Z?Gl&gbX1e9 zKW?T|X6y`TD7~%pbUp7|#(_5Wp#H!PMnooo#N7u@gOueU=NcF&dOPn%*g}a#Ext)h zrYGdHbQIKK+ws(LB4M}v{=QzY;5_}oyRt;# zy4&sUJVhAm6QGC2Kom8OZ-2DOgdW0BDjN8Ju9apcL9#(p(wWmrDv+sC>V1J2Zs}L? zb13&4iVa;bjkZiG!YH6?tjeRjr@z%hThhSXlzKhAG&{3*usZpnP_!sX>b5KGV&_cH zc9vgnsl`O(ZI0LS`B4L?$)M*ml1`=ShGM8$i^cERhDf{Bx@szY$MI|$F*nQr63k0M zUl8%c7hLu!!z;`Z7*)C7?E;f%bKAvdSxS5}?s@Rj;|kxUx&voiO!e58I)L`APD3ci zf*IktnrkD9W6J)3Ju$$ZZoLV}BRzZx;K$oUdIV^hVB0LZ3XnLbzee0pRcLx3#{ct6 zegIDj-HJo}V5T&CGKRwXhqXz|g$@gOY};K~WhK8r^R8rNar1ftb*%kPfc)Xp=vS^% zjbYCEI)$II7r0$#-@LSR{qXTxa@DTZfc9zZa(_mAQs0$>Td;CbS|h&JnT4c;(xoOM ztM|d+WKoU75K&hx#05`PCdzq~_1F!5C`oHH?`oSl+OInvd5URsCP1otY(q$?fm`_X z-*VKMHQUP5&aTB&FaR`!;Y5M7Y~ zA7E&(qo`t*37?lohrg&1sBOh0T|zJ~XbuY5s-^ya6xw($jVyL2Hh5Ie=_yi?o5U+GCn%h!&X0YHs^!(G++w8 z5z-{Fevu?l#sCP)CsQ`xa=S!$QO&35(}haG5h>z=z#Dk^~zk|eWvTQ}i+dS5 ziU(oM40vbFddsowXUX|MuiDyql42X|||26G`0pl(%h5&gpU=k{j)=yUQ1f1gR z-nf(HS)PnbwtOH69JIv680)nwQ;(Huj&)tSE~mVR=Gll+=d@9k$R!0zDUrBD0QA@L z5ro8iMtg$4%2oeUh4U%`yh(}=Xw#(pamofNiLF3wNl+0!w=fOns|;Pl;x=Rfp=q;h zdrb?WC6YxqbH}7h#rw+0?rPqk)aX5vrc-HW)Ppv@_3-*0B`AnHi0Um#u~!NR;Br4T zkl}f9frl43^G>GFfSD8-(;;@W9_^mL?gZ+ZtQWFTf&^GfEcc^@$?Utc)&E)Ea$L<2{cw3DpffhzI#*pNuc6*q#iZMtH}0# zV-^B6hP8}Ef(q`5z!!EnRQ2~Fw#CA(<2qQ6$$d*K>|cMsxeQu|kEE7%`+eGmY*=bMc?4y_3BBd zKdFO}od9bA^J@I(1*@wL^_Fzi{tBn@qIgklLVmmvr0CyVxWfN!iW?NNA)Z8RyZZH; zrJCxG|E@(d>SsnSc|BL~agu|O@fdOaZYKUnC!lrUl97C4G#3Znc|9&wm6W&|Ut}Ls z?6d2E>@I>nX2Pv>3f069}_>T)@le#E2er{^A=vuz^yUPIub(kYB zR*?rpH3#dsFYyV3byI76+6mVMe45*RB_CxuPCJ)7js+`T;mXiSa-?zua&raE#=FNc zGG|j`f~dTgKLu^&4iDdr6R~)~&~(M;H~Q{J^MI)Cws+-2@5=RdL^bvHe6%ZSwD4P| zZ{lL9t+|kyS`^ez-ZQ~>!>QO1)56;yN56O{YZFuWmFoYqO^I5N*{bi0? z@BP=!aseqtt4v5u*GhtiN3k>!wua{i(lOfTp=^}F6qz0AhGgE;p}ayhWG1a{x|5C= zk5kykxE`sa@@kp0cKIV7sQxt*#Ci-gAPifLxhe&2Fr#JS1!=uF$`Kibrm|B~WRZ)T z18JfF6umLMo(S+9WeAz%J@w)HsuDb!jF2RBU%3G@d_X8;44(e^i2|rP{{0hj)O+8r zxg|5gFK{(r0(I@n=<@{J-%1icb7oX3cIMUDny>62{qj9$9!vaDz4HERl32#C124&u zx$;J-aV)xziFh;HPIra1&P*SMG1f4kpW-xB7hFaT{M90yorq5b$DFd;Qt^4cMuc2S zu(S2Bf<5D7))&fhDd4G) zo^q$)ueLuuQxp1mLSb$468^0sxm|WZD$8$=7(}~a(45F?eLcrgcims z^j*2l#U#fnKYY<}FMrDB3hkkB2ugdvZpMTZRa=H9LEm0D)UU1xf%AZ}d;4 zk&&JK^0)r&+m^nsg&rv?|GTd&jqZ$m_U%_$6d9IX4RI6Gb9s^1hzZ1SyS9<(+4ma4 z3!i7ODVxOn#TO1DBcR~8jXNpFK{Q;BUnOmNcz`=i+H%TV`@I8>%QonE<;~*tEhA2X zaE*;i5w+&Rp(?ir+bR*Bcd9_E#WezZ=cCGnVE{dPqeBd zvMb4y^gnN%rSZS8#@TO-TQ7i`PX-RB@2`lGMLu$P5u28H5$(D9rF3&OLucNO7+bA~ zcka&+-=p|5u?%LnFYpyKBx1m2nL(Mi-zDlTk3rPgrKLxzcT3vyQ$y)1OSR-%4pT0%W^RxmQC6vv}vTifC=@WjQitIs1p`K#HQroP&aR_Q*7QB`27 zFA;%Kn1kgP{8xif+HSX!AM@*;`{TxqS`BpU-^D)1Q3`-tAQ&~!$XutVkScrGo~}!^ z@Y&L|E@&|fdh0fuuTTD*jPAk-nw?MEdg0xHllQpuS50I#rLCQvO>-EFAvvM8=STAf z#1Ad8G3skbw#yn_#DWf_NrG>y@4sK@Rk9Km^QD~Uq7S|sa3v2+{We%V2aOB_yjEc^ zd}v3Acm8RNd44hp|NBo^8(K?H_H-f^rGRCAID=|S9CLKgg*H}-t)xABA)*+8IKMKH zqxVYNlG#fX?<(gySyV7lysF4?c8UbfT`!hwyxD{45XW9wXA08oJGaj>dutk{ebZK)h&T+? zE-dIFtVZlFYD-2WMOHN!jaF&2EZZ8ic%|3*US1xuZuaHS*kNHGnLNL54v=@%t~CP? z=@i6W=XH&I-A;%*&te~<=IS4|I_q>L%yFzTN`IJ;51SXw387`0z6NB3l22oxuIaHv zSv%u#iTdBk1bER|{~TcH8@rd+LfpQWtb!@9<_ZKDJ->C5%m|MCGljCcev(493ZXbb z6xNCpfBDVfVTPCni;u#=&qhZ7jT^+L3k8erkCCyDY;1eIeM|@=;FC(!wl^a@ml;6F zQog@1p=N0Xrd5wrKKj?7iXM^)n^u0`aKiv3>h8-|X>#lSAnQ&J)NMZn;#fF3(2CFX zh$LQ-eDgHHj zSC1V3LIRW0aNY#{2Ial9+jC%uMmQ1UG4A=jz*Meton6lxbVjPK%96OkDCd_9Za7*j zAt`m*-kw*!g296i$x*mGh5;~?UNJ=oE`hww${u)Ey@%1M!Hi}(SQ~N z70b5IdHj*UXc+PXx9ONdl;5hw8^${&rc@z!NBp0@64PLXk0~}nvWc0E%}x92c>2r zzD9d`9lV_HkXuVTKIl9Sv&dn#6#LdX!+rQ(=^u zaWQeVlt{a{tOV+QueBIq&Ks9~0nUwj=?&zbIh zR2mwn-7YO^e-nzD-DIU;6ypCB_?>yRCPUHjl32px?wv4-7eR$kqSEY%dR4 zN<9{myC|xwWc7FpjQ{HG_Gao_ia>>*g-J;c;Ez?W=h~&@4XvPnT9??ARzDcv57>w@x}oYX8okveK6- z)X2#ojbyLA*InwjAKS9-j&7^>02n*3(k;GA#ineDREGz@<(Gyn9=BJLb|3T5w-P36 zT+I|8slOYOv1dJE@W)y)MAx;picRVO@JWw7XaS><(Ua>sz|EnrA*~#=Q|olkh5`eL zpOF|9d-o^*;6e3|wY?(#6_-XS$OUrE*Vpev{G3)%dURTE1Jy#tUaa^VU)|>;{r0}K zqT{HvU}0(R2SWG|kfLzi`|(@SOOCRO*QBh*NayQz|Cs5!LT4;zMorA~C3#zwfG6}n ztK1#ND&KN?PSgH4Zhl+>iW6;x-G@Jp7db&wXiOpgRtL{YSO88DOc}rmDYV4cQ8M(< zo9qxja77W+bjz#b85tLT=-j^jc1z<&y}ZhwF3^R^A})>U3)yr(-&{wfHKPrO$k-`@ z6EKnvam23@*F|w2jhHc_fj|=tZ?!U9 z?J1`9?(#Xwk3uyTAFo(6Q##b!pLFurK_^cizkYx~je`oY5i=S{T>wCv{@IhzRFriR z6$kz+DDM2g{1p|`QYM}AzJ11CIw^S``e-rJzd#TZ9}uvfiitqHb^nkx`*{r%JhCaN zx2G6>7Q3HJYPc@tmtPRgpg>~%I~4Zq^UFYFzRMw*75PVUiId#FZS&j&sfO$+ho4$WCm*E+U(+dxrAixJ7zog^4dqKw80bd_r7~!I@ z>_nL5M44ry382@-V|^WYONVV~P*vCw8h92r=4(mhlka$Ur>KepEHkID@RHywTm7*o zJ=rN-O8RB@>4Q}RZUo{!1)oZ-(68?tmaytzkCXFoffHpLel*y~KBX8itynQMuNr%5{mcVQS{x_rb% z1iuulkPogR{{FUTY?Ww9h5-;i69@V$FWj}awUnRay*aNeHU1=ZYYm_l-L&fL_gA7n z#LTS$Fx;3A)b&d~6Vp_id!Z-LwNeR)3Ir#5q(BqNNH}->G?2+(cmbXWCVs79A@HyW zlSx>>z2+K5Lc7A0ie(XLN2`X9-o{`U{hQ{9Fw!}9h+QddcyHYq&>ko-HGNBq<(o@B zSpNQKXLT%otL36mRgH+-%^oHxA*&Y&svK{lp7u5({Gki?knIReQhBJAt&xi&^d{vBQ-@fC>D#x$DCG)&V0&pR5a z3cm|#DDYf_dz#84SqkwU^0@uRqAYyTH9k$A;`)csH}=FfHiYw=;yn&RoBEkeZ2^!H z4^LpWNW4~_(=4=cxuq7Umus}iv{oeiU)qTQdFMYS&gX*2+SRL#Fspz?P8qYx5nZc=@p#RfdsM6qStnTjD9P{L!H!IT-lW4wS-ucz`$p&w`ikW(B~=g3jp~S{a~kiDI8{GA zSu9YYgas$>$JSeNIOOK$f{U&hX<63{ZFgI3got6j5f8m4hU* z_sG1G5Hhk(b|HK3bIh_GdzKxJz4!Keo?frd`}6+(zTbb{{Bd39dXC5Az8;R^h$Ia& zdq&ceOEi_AZ*4_f{*3NkKG6&00k4%(j^A3I8i3`%e%6or}$8covQ7BOYQ=5vaW`x_L@GzqDBof*(?>Nnwvgp)sGs{(Q@^rP+GLu+gm))!W46a;vO_xDo zw|^t3k0TBOO#xm9>PJ-jyw9nfO!g4i@J66Zzxbt)Y4kf3 z#Rj}=mhZm_0m$wJSVmUu(YiM_!<*8>@&Tv$E8X8%hWOAQT@qx(`a>xEN=Re`|NQz7^~k))5!*cwrP0GlkeHv1q&hHUb1Nfm44Wlh@hevRwF?%+Oje2+G6 z4NF8D(~v?sY~a`Np*Xi@w|NV2*!rm$6x)bsVuQ4$iJT1Et8d)b+TyUETwGWkRD-t@ z-~fun(vj}mF9Xq3*?dgGPYg}N>@^>JQ3DPS;_zmX5JS0?DD4J{uTM~R=60EQPYO)+ zydS?uQsv|6eIW!t2+%Mu1YQ}&L9k43Lp@@B0WtbZ=qQv%cn<&)@2K|?a!0sfp(3gA z|ElnxOy0dEn5<+C_zS99+vzV!HxWaNuh&cDMTy^5P_V0i6)z0QmD;&*&+?iG$oc;#*YcbRsyP5kKFRPYXb6wf!k@6zzx4baoJ+*cJK z@Jw`euI@uxI{p@F)i67pHVYYNEU5giD546LqIj!mi+U>iKzGSZb^c`O-F_hzXCiToX`T*=n!oHc=L^F8}T`SHv*&QGnT#9>y0U^=BA$B&PJO-Y!k2Fm6K= zDzb+%iG^X+Jtf!@V$3>ve`xoXnhngTE)kRo3liA?ZHDR;MIP3_1m63t6DgiJlyPqTLZHo=Xa zxAaH=No;wp0QWI`9`+QP-m%V7s7SCs48^0J*Tnu;>NLSWCi{}mt#9hI~` ze7=tpUjs=hx$&c`$&Fie$YJP=%Kg0&@-IWRq=SUsuZ+CM9!)$(ob*_o4rXgwoSz;U z2WoEZ{qwM;qTl{$02Yku6s(fLrn&%XNF&hck0vTW-(+e3fqG|ZM36mT$#`bIKL*w@ zQh0^>ZQLsk4W_d*Z*i(w~~oUN%6rKmfY{=jz2duD8W2I*BqiaEg{v z@jvwK_)AnTsH&1ee+XF|1{#m zW}kr2%C1aF<{J9rri1c|0t>f_46M(i!n#=V~L$l+OvG?&*^FJR@bh) z)EuCVUUOE#R|!CqzFk>fe#M9^{hh^*7)pd%`%{UZ&%Q^G$99(fX$;g?MTB^T++8Ta zvF949741+OJTh0&_q~t9xc0-W>^kgPnnNNvyh5g`R1tQUd0tL*TT{%DpAaEXy((lq zPZ!F|&-)KfM~UL3)cm^!&sTOwmSVUndwVxrGbS&A0D-uV=9h_|WAh=*AXS`yn>%om zJ>@5_;;Tj>LS_aRleIsmj}0tGYqY(tx(|x`nPyn~r4Xz8mUrQLwH_kb$R|T&1%que zdk5o8p3<7XSA)OpVnHo(Wp7Udd4<;59+J*Awzut(*m$j>)1;Bo|myQ z?{^98_2fh(;k2^NFRQ@zctm1(xk&Rvx|hIHB_2n4u8q2Nf?NQ?nc)f!)lqL&jPZ{o zYtA`}f6ch-O7p$3+ah=mfq5C-BJf|(8*N# z@Kczq+GO>0?eC~g+S=z@1rDIj7Ivan)DrLY<^1%fzi0n-Pf3!^wc4_SVu%aWB75pX zPfodQ>_@3-q>+1R<5fc*7}h$F0jGKQY(o`}o_WgAEe5NqH?)tdN9;ZBR=h2+0wrl0 zn(evar3~%KTW^f7}`BXs#@=i_sIZ3<;`+O0?eiYxjw&~geE6iH~@2A6F%s|~npMRuM2(EB+& zWhD#Fm=e4cP?r?*LKyr-j~}Mhs!996K1!JN#HgmF;D$x%O{_%Xr30BzNX^RQ?ghss zf#-)dgd*eban$#WBi_+v1Bavu#4^#dIH)s%AAzQStx_UtF9|XaN~f#NBxPL3k6UNq z^*-K$fufhF!dBmK_t@+&_B9?C>d4fztUvEr`Su7P%qb8uqPavDow^l2CMQlqFm@4; zYn3$M@&T1>KMI0#%=T`$AfpxfK9vdO{SOK}3`jhnW%)c+qb49#=N|xfyrY#;Wt0aq zE%I7Rqv$_j^VYR~Q?H%Bbi$Q_+rXT=eTN*sQv<7WVf(+14+!X~P074LxjsJFP8N^D zQAqT}qWiY0KXu;BHfFk{*1LdxALHVcx&OQ>k7RJw0zYwdIHF9C5yt`h>|X?ct#*sd@^tJ(c&;8WN>6L- zkLW_!(sdFhEk$v|RtNp-JZBL73H0U+5YTGKW2ps1^*b;6 zXu2ez#@7D#c?Xg)-#mP?Tu~XUmy_IS@Z*pR^AaLleB*bUtyUQ$@n8tbDjOW&2(l{XGx5(K9)Zf(d-0n>WA&o~^tiSYf-op7{O&fZJ@V9gduLyM za_T3L+{qYi!|_1%>Be@J0#K|~Z;7QbLd~w}w|};^C?=Fu^<~V5gK{cP`b9F=RKf$W z`jpeZV3A1!gaOFnJq4nwyl**7a2Vo}p6sX553+g!Ro3CQWtR+2at|yTOp}VO9_#bH zZ^LMm;~>sDSzMxu3A!>G+92c_WnIbQHn8emlNmq2}ONyr5*9VaWA+MXC3RtH*4p*!@33Ru~$j^gjZv6lF~6Y%`aG z{3N?0@-#T3nn3HPKJZ2UvqnHPRc-ZQrQOrU$b;o-;A3_&3gUnAKL;HDdC@^#AR>khLmdK7PNO;BI3L_OBdjAq!|+iR|`lz1st z&<24-HAG4~%07-Q8gs_~3D8ZPxc%F}&P(;TurNHX?%M591b}fW;Kjs!s`&2C)SufE zo*nZpJPVQ97q*K0Dh4j2rUESf+4Ns$FYftgEL{l#7z*o(3bJwfUquu9V-cCJy6MDO zOAd*oV>>@iAdCCtW9~S@KEj=^^fJpkrRi4fezx7ir$smFo}4;`^dI!!E@(Tc`cvXv z`n0d5&b{c9foh`(6!lOQch1$YBZ1M@?$y#euWB~nJ1KTV7AeaYqlQ^fWKy zjFLWIA#`P~G|VhL#+c)u6Wgj3YjW^KhfkEm8S3t`Cf%!}X7N@6&r-d!0i_!yiUjXl z6zdFUPy!tJtL$U&EONy34ld(&<@wBs=W!64S6Ng=b+CvY!vg-bO+X*vgiZ;*$@hw+ z3wDri4ViQpg)L};fV_^0^~;Fw$tNA)0i44<&C&uBT~3!0Pfi>hYNy}%c1IGQ-<0(zT@lqd%3taIp>Z zb{K!~+|$z0-hIZ~X))8NAXC({QrIT`s|;>XJ%cXj)nzlEzd~R& zMZ6A^CY^t-ZIYO;x?2sE;r;P=KWa-n{ZKF-`OLP|037^1TKO42uU1(j5}WWyA+2PZ zdMiXu@2c|fQ`HF{XD@Fdrq!zCvHz1J&cDwUKraZeye-z0BhLJDCtO%K$V)M9|A9fT z*N0?~o)E8%Ii_4?eWV3`vA>Lfp5edD&VR`Vffh$P`{kVj;zN+eIe3}d$r+j-Ew{q9 zY{-$@UAQw31ts>@C_62EFj+Sdrhc;G>f;(#@?tq1Wpi)VG-y2LCpkUL45?XUN0{@Z8a~!(hz7Spu-g{h*AlqX|_PIEsIn4Ty z5P-}Vzfx1ml|70u`Ov_svf+1-$(aFDEXRe1wTcAk;sg8HPKlmfe@-AU;hkQ}?m--= zH&KkYr4z10-=4_zd?n8xy*13qh!ymbViBFQULZgtBO?Q8QgR@4Bj_IK0clVhvlaV0 zG-U@brHr+PZD&)>v4p7MZ5x;bXsbDO;q3PXjd?1GFXIZ|Z23sZo(1eHO-ls!O_?~u zC7Yv4N~FH++okdAKd-=?(D!@j_;h78v{O?cQzu!GM+93IB{Nn*h;pqgze!)yGLPY(=w3Ksm#9i}? z;df{TnfzXF?&-g}sBzZlE+_{v-VKPYKI)<#b9hLLfW^fg2jCIInL)|9NVv zp!U=(3w%djjgCu=FK9zA4O$UZWGV3P^w@%iqI5)y%|{ZH^vyUPAXe5DLLL zOqP}-dSd3K{p|z-+X<^A_-!EAU|L^#1%nMJB3kfmFb!zU)hUGG(qT1c_%TNZu2t4k zS&Fq5)K`CsxqI1PreaH^c$WXBzK^%^C|v&Tmg+p{5BU=mnH?_I9?pcs+U%LR0R7r< zmqj7~#IjL1LJr-czq&*4d~ic0Y<(?pI=8wB@*q>JUikNOz#efd-GSA4E?8xQfXH3s zs1_a1RI;zfWQ_rj=+^1uf$!W zjD3O3_uvJJvA(5@maDRS*LD2S`yX#Eh2a>8bf~T6ytCYMTUOA*`!ob?44HHIqPL~S zfbA!7$PRa?zw*{J2@p6se#pG3(wz0~$<#9aXoN)Kx|T{im15|xuvh(2P9Gi^w=0c{lB-*8>^Q1Dd1Ws@=l+>JvZ_g z|7LE4_F1hgqvx%=V}Ja_;x+yVHJZ3ozV)qRrs*PFcs`e=UuoN%;(m!YQvwJ&=9sl^ z+`H(mv-0|&A#xYU`*^OrQ%Ni(tJp~e_#*pC!dVxo2xMnt=qzZ#tfz;b@i3=C7({s` zey{r|(=WYomS^DVO^HnW&ag191D2~nMa|xADZMu2>GA+uFF;9napuDY*Bff79d@H- zdNM-@Nx%7xm8+*q2?Lt#9hTjwK1uywMbo_!M<8RO)x)wj4|}rjXybRywnE{VleM07 zPISa7+G0me%LG%Wn}NP%)^&}T%bX;)K37z&MyX3bVOI>ZuK4!VTkblDy2yG>W9=kq zSxu<~UP?iQuK+BpyEZ#VoOV+76i2Flz*X{M;V#?$u5bzYrW+6UmQ|}NS*5cS4_^Wx zCk6AvcJ)}bk$Z)S$CkB_qn+v@zVN=tNW1jdoVgiuANRX*K1LQ}4o3WKQiS-=qr>CZ zwm83;Kxt%drs$}x(hpl}!vt__49rZ`J-g|H7WM3J*r7;`M;)dGL8l0O;W~)L<#(Z+ zBQ*~2;$Sm=-=P(%zWuuLA0UQ2HC~y82ButAl3obCyo2=~kX&2&Y3h>cDhbz4O9GX+ z=Wf`p{&gLVg#GJ|NM{XWhtSQY&X2bPaw1VJ=d`}E&-Q$&GK>H044o$dg?vBJyU+WB zDHAsZk9>zff0ZY_6+bsDBj9##Xeap2E(rpy>JReU+VRjpM}i5S@l?eh9~RoX@xJpe zB)YU~|6s!N-2qF)_5;?Jx6XP2VIt{m+2MD0D-bpH;Y@<7t;R8-+BWmapw-A3$hn+V zbmg<&9eJ_SNov%2OP0|`xc$YQC)L6r`;M=t?sX`KwB11o;nu~P7gH4OPMZ-kmFMLh z^$QQS(4(K%mxra1&zal1Mau}l8cDzd5wm7pWdFqyIc2B)G|eOuFUW|Zl@lqPeBP5H zGj*&aN;p@>;FV<`2LbNt##e=#h_z{jQEKkw!Q3=}WkeB&Sbg9!Q&8D*&sXTrk;(0I z-4^vDo*NwhfpWcP8aDQaW@6zon*Ns*$gFL$ik3S2koOGZ$Rr7s>%q7jqu&MC6lZv? z|M~crtl8u3Ny0jbmn%5$?|Hfp1i4_2 zdYH(^C_G0CuHS9!xg;P^e_X6-YZFDdUt-u^t`_0WVU>91`$B`F<=(5fxbZFasE`-) zf(e%&`*os)MOVJ08^qiHzHJ$I_R4o2&qR8!1U9&`bJDV$V?{fmK6U>*WU#gox47cc zEI6vaU=?_J(jeQt3r`e+X%UCyu6MSpiv#BNYnQYmt_sQ(kjP^dcAPvfd&tqNcYfPY zYk0n(@VrR+TEp1d=d(p30{9@;- z!M*M6r*=yAa|>1iUXMlmX4TnVvR!oX8hzD2-%>|yeqmoqoed)v^5?Axdont4`o{}} zNjq8ksr{z!5tOfZYdLBJVkh`oYTTnrgqrF~v?oaA-DLGjexo0$koAH>T`Yc?s zo1t9^Xf>(r_h|U!%AfbLGJrp>)Lh367!4Z?J^2;{avSe!yXz+6SFO&Ea1V=eU9}EG z`z&bn5}NdMTBQzz*WF^`Dv}dmJ9Ork(mhP9b5oZ{+x+njWJ8Qf*)OI*OFs;u?*k)= z58u>yBl^*>g!kLGb3UcX%zl4GCo;qM{%ZqE;U3 z@!6XK?oUnNa9)gill<*q3Q7T`s3JD?z-Fp$;y4k+fRzCo@@(sTrQbWW4^2qr{X@l? zV@_ihd+{qVcZUNY@16QvD2gh0ju7q0Ewdy&n?qbK%NMw~OtwWM{Ivme@cG3@VZ^lW z)?%I9fi|)EJ~}7f@N7pz%Dme&3_kDG?zQb=$R_$5Q2?OaJZ z@>N9lvtw}Um!&ogmC*y&V(Zy-=av0j%Iyd9S}#7M$&{xA=5%c}+n!YG@Iaj^!F8&S z-eIi}2JxTKY<3fBxvU;;h{)67zHTpcB2}8{3UCCi%=92nLz*cx&IFCV%H@)i{(1J? zA8($g2m%P-@yXPMTKN5KBB_(rQs+*=)?OfbQv}i9_rN1N45D1Dz>8D6EcN?iJs3c& zmx3R!Ut#?Oa27?o+#*eXNMLQ7(*j}EZMyHLIcZ9O z#x^1%E?b$NN0`V!^S5iz(~YpC{$yHnHe&e<96KMc9;t)g5P>>#T$4KOS6@z%J>K2# z+k7bMY&A6%j`9UC;t!Ae6xqmL)TU2H+>qnrW}OEBrcQX;7!x4H|G_UC+wu(Ppi?=0 z9upFvM_GxVlg!BI-@N3Px_BEDo58y9v@cpkpWX0(Hei4p;zeH>fSB5=RkFi+EcmG# zxL7ppbQ4)&zi__}YND6VyOfT<1>LrfORHB5V}6q88e%7*`UxIed3*envDxn~4Gbt2 zCgPR$v$n7A1h3uNd%3#zFl-d6pE?swlKSq6TG&sdao#iFHQydN>5uO7)?8B_W+a5e z_UISLq2uJAxyRwP>J#BM%6_o>Syw;TyWO-+s@OG_n9Z8aI&Sczwx9@=^}R=Pc+>-_ zomPep1SL6ji|-B<`V0ljl6%VIA{h9L-v047uGY}Fh}E~fg8v1m^e3?K*h|mz>4CkI zX4bNlkQjKLtoKQ~bT(G)d!!{YL!}#et|}%NPYaEhR()bO#NYhNXXt$tpgzI&pewtR z-^s_>JwbL-X=aM+3Sw64dctsHo&j@tCsWdQ?=P1h4-EgbI{{zTb*{wxVEm`sV!Py2 zs!7kU6tzPI$J;y^GSL1TM%N}m=cWfqXQ<+v9fct1e!Y4_dbXuwi5aU~n>~)v4m?~- zw}Vc`h7+D33|tvl>>F@DyK)d$-TzlBmVU-4VtFl;RZ5~r6||@ziu00sZg2rn6})d< z?9C>)+v{*51mD=Ea?SHxCFuPknd7y`*Iv#SMo14~YCbC@Sf;$ai$WOrAiuCB;R>-O znl&Xy#SOf--xXqW_eVP%vXdwLLz!W^H3#a%{tEeISiJ(1t7iF^cQ9ub(?_5VXFA`f zJJxXFXZhU@<=_m=YYm<;NV@WM#f$J-mVW+i($JaE>EJW1B#oT{kaBUlutX?hdWgGL z+9O^3n34m&K2peL;4-Au98K~j$t8AZ;bfz}{?~yr4EbTW(8%s=JWY`T1@cDnA*b_2 z_ON^JL5#Fr3~;tKPsBb0mie--Qb5w*_Y{*exdex~Xh+I&$_-pL@Wo!*olKX`bSc{A=W;on->UDAm{jcRBXm>EX;&$0q(mpCjO_YN4gaZh>Tt$$};dl-yIUV>W;9`TWXBCDH_UbvobfWjCx*o~9V)0!H9C0sooT==^Wc z{v_8Xd@IJqLOkZER46w9i}9Fzj4pNo<-4eb@x8|X==OR3GB)meDO2BD-_$)(Od0i6 zV@5E;>$bKYJs&wC%8{zlAI^A|cNSAWQ7lU3y9E+Mbmk@*POrv^mFa`T$+hZ@I!f*( zo11{2>}#iL=erZ<`BOG7ElOPdZllwlWSA~BFb_^(1_uXUd;go3v(A8cngo0*!NhZa z<=|CMJF5c)>#qO%R0LZO;(-hgt9(5*n*3fr@!}mivn-Rk)lI2W>Y{p;@_6Rkkh98y zvsjyobwfNEF3gHJMxz*ok~jw2?vXce`o&pN+5d)dEF2sDRdn%9Ggt^}#Cr*fhIj_h zH>uJAHR zLJYn#qd&c$L=x zb-2bwa_v$TObXBAZpsj_r|$9E^`jw+LV6gN%N@8a5OxhErcY{Icr+6tz}dZJBa@gK58AotktjRD1JZUYRu>?Oe8x-c=?n4#U@>GNR$nbXDA8oR zWBU3`3|4AHWCVgPg}sk$-(pR9D-Y=u?-K*Jh@y^eR|TG$)d-4WGCdh`YKg)z4QRKj zu+C8IsS2Q%=&|%_Iu>J-!TK8uzi9F$1Tcc$Nw|eAL-lWJ!Ntz!1M{UW{HpuuKt>b; zmdR0jv$I#Y+>#86m8#`CGMA*vIzyRZ#&K{PL_t!oU2nutNFO!;;pxJIbMSItu_SmyC7lEHwc$kQ(i4xE?M@3FHf%FH_lwWdc@$Kke8*>->YOe*n=r?;`D-xa>P^A~02lpr6c19c9N{q%~a95RvX4YptV z9br=-U}?8kj-n3~5#RDnui?+Cgxolr{rFmZu>A^sYw&uE4uP%(G0j1=v9zc{V8d%8D$X5LmA<%h16-lJ!M z2EH?S*PVQ0NK)N$mb9tLlgt5%;DsbN)+ErB)AcWOryX5#Ha)U-e6SJThM|8$2)kx-jV|ME z?z@puj_EIi3$$^a&(JytERd?qvLufP84yTk2VE^P21|^?5xHfzF_0!!_aHE(iY>|O z34o0%>MugWB&taERvdI??dD74$>9b0`TXwoJ(MlX`KvTYK8l^AQEQNhQ!sPG@wZ+) zIM~OO)`kHFCeH=5el9mX5}dpKTWH1^DigFd4;6#JuKOM$e!z4B`~cHsj}Rg>A9*Ey zk@OPXn}?wuaXQt%HMv&D@RKqeuj=Wie|C=qMawP1QkFXjII()(zz#BO23*15h&S@^ zB9Yoo7|A|pa24K_OrmyLT2q%%8zN*;;bQKWEEX;8jUWg91(V42S3f^o8J6>cL}=oq z_zA+R>*^+XW@DD$qj6!9J(1WE04SFgY}9*@8hg}qiNxl=86uag9CnCPLyjeM?5wSC zsWm?P3$ex!B6z(|eYv#!buPmTHm|(w*u({&MKvyDQE`_z9T#DGEz<8d`4`;Sw1d*9 zM-7xPt_=5Buz^iqR=zn__s@&@ydvR8 z{c(|4{ov}{@c{slEIoW_lPnaexKb1cD=lyYAd>MpB_Cavd;|;8rJ8`N)qtRJxd75{ z%^`G%sMI-6A8I>r8`mw%Kf6aQsOML#0N$*pch`gKYP4ALte?OlAvv?|IDIp#d+z$L8i!eh$kRq3?+E>3 zck^BklVUPaS&Zml*Y=#`lE9S8;=G9ViBUlq?CTN;K2rrz!s$~x^IfGrEtG{|Uu;1D zz1-r7nDZ0#WHp`a-8khKuvlAU7{2b=&5*K8;N$uoM7C^ozhBHx_$zC`3U!P!>(v7H zrx10{7Kp`1ZpCjGg1NF5a9Nf@Y9oVGRIFd#VB#S}h%3bvDxUo`-8=5h4T%ASE6y07 zBCNEY;f@T*7rOCgp?euPWgtiHqE1l&nHzv&uw6L+9)QSc798&qVpB@!a2W0`STNSk zgs2By{rsF_yR;^(t&ns3$5j_F6ljCwySg665#La z9Kg%n`Euu&sLDE7ou@<*6qmL=mm;#;f|wh>uG!0->4mbIy|tW5R15tzRL=gnTTSjy zg5TC`t3FfJW+<-WQvooW>V4Pva3h5b{BqTP){-NlW&%$b>i@}W(Xo|g0WR}t1+QUY z{D|Y#3d&~HUR&)(c45~gG7b-C^WPOXUs?yg#79w&SabmdH3d3i+&ew36J9L=`@x_G zmI*s?`T8S}<@4beRofzF_z{?f9g<^l1sqGa9^@+ zE7YzR%Fawy0%xva>Hs!QNsLJaWkvv3+r5TX1-${%!L))95A+6!YYfar8!q390KTYx z%O;?JMWCv);x~Dvi1n{KBtj(5V^h{XH{u0>(FYFzTjxa6k$ki~U8Omwwo^dxHU<7*g3hFYVMq0k$Ca?=xM6(2$7>zz zR9v1!5!FT8H*LT1zffIb^3qJZo}Ym8*l&02gM@`uD{FQV?_g*t^w|&c)Qc93e8d<+*(x z`Vm&9qoS4&nO8+v#9+)hwK=5tjW%s7aq@$+ud0m`K?F{vb%odjCr-pWds7I$j4ixQj42 zM3Y2C@ZHJ{a`)#%qa)lWS7Z1I&o zD&?DoO5%L7s|TIbs3~^lIkRpHWZc&1`6KD44 z_VMsK4I zYdqF6L1i#ZfBp0Rj*RO0=d1d3yz^I+KL5F2NajECfr%t#Ss^w4Ct+Y6Uba;w_00`Z zJzH`F*8xk++gqntNq0(yZR&b!#kYaN)r>;Zwn*r(b)d{BSJYW)ukQvwCf5UGEkmq( z);i%A=YPYNvto!4M<`E#s5aSga=Of2feCQG!-!Ri>V&a>QJ3*L*Z{%Z@dnjnxEGHN zce3;^!^2)Y`$dwDRR>$^!Y`?&!L|GGh4EevJth$8A1GuI6I`Q=SNj^iq9k)Tq#zB_ zV&>v#s{Rw))fa6c|DWM&gKJ+zom;d(mwc3~ z{WKw#e`zpYn$lg2%?ukRo&7urvX3jDeHUz1SQ}fn=`SG9@f|oF6urVS#`p5x#2I*o zcp|R!jybw=X>eo{>&0;H3>7rSTG;Qzpe)=z-8XW%n|DtWMb4uy=a<)J z|3#~lKclV3c=?0DaiBv?Ul>R2_5$HZA>Yxnd>Y;BkB&JV_P_7EwX#>X&6j(oB57A- z)TP3cQsK%Wj>sRRPwi$MtTSbLaWuq4)q|{Y7!viE&Sc8AvSE_g2?W0aey+~b+}aoY zMeI0;A*a`v4k%_93u2`Yv=j?4H%o$HM6)MLgFlM;8n6Wn2)_>p$SU2*;la3*`tjUU zihG4-q;c!_^yB0YwwdVPdsaKXKSM+dX&m{-!fn@r>c%|}?7#$BscQz}o8gOPDrl-{ z#}^%G6I7s9R_3})tCM)$g8TZro_re-Q{SocX2@7_HNdB>baf=;94(n|%Htee##16b z2g20z$e2xc*hH{s->2i_<5z>F`#39|8GX73)7vKg%xD=8Gje|M zoj2#|ZA4b-WRKaIm1Z(j{`U?uc~f-%>;s8~N>_W3RmswkP1$Da-*Ilx-+69%XD2Ba zGNV>KwToy))+pTsJqz>BFM`@Hcs0XK!li~gD#yW83C-%o--Si?aiJ*yUv|dC==57; zCeh{+JlhpfA)J_uEVH|I+h%j)h|x$iFlPI+)TY*_4u>v_3?Tyv*`B|W+(Xa23 z+*tEEm~fkxiJZ;QfVG*%q<(I(@rA>fy;KS;yoA24R9tCm!}voabe6dmeje=fV-a3z z=AsA&8S|^TxyWp@vDA+t#Iu1++CoCwh>6i*e8=AlzO+Tlrt>hv^3&(9)#3FNG?%ld zNF(!&Xk2UFA1#?~^roM>?^frG^rA;(7LS5Wf7q{dunSxL;>>{`++RK{b8|duj6p9Y zK4u@in9q6L7}pOSy~W=F+B%k{S?mD=wgPj~4ub;5pd@^Q6%{AOB_ z?tDqV0W7f5ct(-?T0g5H^Cp|&Q`buJHdD8wROf`t*C7On-_JQqr|Ii@FQ_9Zg%c4| zA7||mIP*f_A9e>Wj~|+Sc)6nRTia=5FtL2lq^ZVSSKa%q(eA=jea%P1qt~Xp2hBZh z=@~A($D)^?Q>NG9Mr7%7xC)%!@gsotIHg=4aWVd`3YQ0=|F-%rAEfC~K|H2Oib?0o zN$~T-OlV`NsXfYh1+#32dqeTp#dr*_PR2&vNf?h-&KzWN&D=NYr|V2p(C)M!g{A%n zQbysAhp5r^#`X%w>P}iJ`bx!t3s^weYi}HW-~WmSBj1+6%R0nWg8nbB+>^ApJje4`FoVYL_9H495}|+<9M*FcwxHyJZNHZoWi^pL2dr>EKE4(iBX*G6U;=iTeO*U`DdQt z+fSgR3vGj(Jb9rWy}e5;QV#DWhO8nUi>9l-$02!W=*wd(nhiC*hvz6$VLC0IydQ_%a}G1#V0ok`25pkd=T zDTZTY4}X>ty@WM>#qlk@qT7zKq%*pz+WF9ZaqfHc!6-`xQOLMZ*eSd=FY-FsD7|LT z#IJEZ;c4{Nu6eD#xyrzK-M4Y%F?a0+#FYJTEz)_cbg~_DF^}p0GuxW&`BzIia}{s!NoiMAvZd zK471$BL3DXgangv4|$zhXdrU0yW;1^Uk#-RiDhvZNs!F%u73+krY_pa51Ar6`(mlh zCns=mjw&5JXjYfZ`aR3`5>vA9*(u{;%-puJOm{{gU3gj`%ufBn+O_^Ji%M#Ak`=q- zQBbI}L%!3}{(|MLXAd3qq|q0j_t~UWDvh?r=7?osW0x(5avp(ZGz|jR-e`AJ$CV_i ziYub`w@W`}Gv^Oj(BVo5n5t<<^fxLF zrKejn&DpxeuSVD-XrkESLz)hiyD)%V!zXQ)?CB{iR4^~r}Z;O`zuY6=!eWs8+qECJ2{v?wFTd0 zT(&kiU-Mk){~CHZ=2z|MZ0l}db7B7mG!S;~GI0rf=Gt?5Rkjk}j*#E!$Y}$Rnhb2g2+F_mluEm) zf-4xTBOHi9%2G!1^%d(+w@H#dS~EF;^zuI7WlNt8h(Q-q=Ikaj@FKnkdcL5=K_l6+|pd--Bp-N?sUGQABFSdd|e)>c@qt(&UxV%1)b0GP6O>-&KF{0{Qezs~u_c+J? zOGuR1jHXFQQIX>TV_9D+RkeJ4cha%nI3wl6C z=R%?0lWGdqbX%PVuxgf50M=Me-K<_ML5~;kT*aJlAkG3z$`^zLNR2rMGE@ilgK4K= zb7!%|3nd57W+`qQQWE=@1=0}~Fw}fqg=|?O(CgcemPD_}!sK9M%sh_?@SfonUk<(6 zn)u7oRH#0P1&}OT!wmPvZ@d!=Ublag{e$zRBFu@RbR9wjO#F^OiPcAcu=ZI9w<()C zlYUN>?o;}>%qQko=!pgS#KjTX*=QnRv3%ts!d!=0nQhYkQ-)NXFDysVT>2iQl$>G&ImTOZ)$KBStdgb`@}#*ghH904K{e}r%)-z#|G_i%r? z5o&~fKb<{)62VD*NoFUj$@XVXPnJ=)Ot#%HnQ&cmW1aDl`+$Roojq<$uEzUy`j|g@ zl8(cezWG`i%^m{P`?+@wcxTSMr+iLqP&2{2{WK50`DB)Yq+T4|cGJ@BBPih$h-AsE z;CaD38%sIy#z8>cn&i*(=v4&uoE5=It;$+-1Uj2#s$rMh5ow=w2T5SIm|-KQMI&s6 z`=@YvD+zH#_Wi2YjFp=OlWe#I>l{+WUvT}|m;M|^ni$^0GQMza8XfG2#t4sM*G4WI>=3cF2 z*1HZvjrXYVeKnZCixhQynT?F<0*ht+se$h^AepNd#EdU4=N76VV6CfMAQ0%zRo*0b zEiYT!0#jcvmZDe9!Hcm>5$NsJ3GN$hBc!&QM6co^&<{SnfQTy?z&Rm~ALydw_C)J} z$+)6k&DLDu(AE#P#ul>E8m4${yK8$!L4#0p-)e5;&5R@omsAi0s<5G3pw3$-%24>` zxDf6*ihGGb{$Q4t?f%Dw)=v!7EWFx<4x=rhOi{%)W^0eX$Dm(fWFS+mP0Qgt2A8!_ zt&@qWiBDR25EXwbPn}PTR$2S9Rh^kl1vq!#n&@44{*w$v$*{cVi5{Qwm-HlQ>GCpMs@?i0 z$P(POGnZ*h-@KugnPKswt^(HVW@TD$Z;%o*nEnKc`mk@8uUmXG1{7AVEDx00Ai}qQ z{8qPsq((Daoh^2vM1GHr(Nq+%q!YPArY~wco^{1AH=*Vu6LN9( zb*wfdke!dpxp*pn@#E6e!4 z+Pm7k7wa-bcEB|tgG%mr&Zj12w5tY#kj7*XW@B8f*2gpHxr*lP(!=T`C2u86-Rfw0 zNC!e7rNh8E-!1}O@J%)62@a95jPM64!c}8wXd6Zv))i6W`xyh z#MI!7VWu_-$n!>9<-)AN-Pt-k}_=fy)JNyYfhDiz=3hMjELg zJ?4k|%R1#ND|A02$4spg-iD(4EBR@go=2gN?Qj+`1K zDEIfTp^ddHyGl{FGbiHmsx|5CBeTZtOVLTyp&sS!&Y06sEpyj(?H5(An8-q{oBx#m z+OH`k*U5^6C)Y?MtiQMu>@jSs7Nt+&3RPw9he#If(8Zr_dhmEV2%>hrzu3R4lmRbs z;Ljhgi&?MT_h^sQ;Ho~Xo=ANZQnfPxT;&!(8B%84NI=#A@`)bn7`SNtyo0@}r=W}4 z*o|?gp6}o)(^ODU_=!o^44`CJ=miGSD~{qvS#mJ_Qj);NrtqiS(Y=*qkx;n8oZL!g z3TJU!Ak;#6A1?y^BScTBf*zbUx`N1zxjQnsny$^nm%HK;>=tiug2C?(KT;IuL|$N8 z!MtBZF7@PeuH?55PbLmgdda#yOFJRX?e{YsSxbEw#!luF?117pO4y=jCq9mT-mx=z zA)!#%ZZa`m)W(tr5y}+}Iq(vc>V4389Fou6wBqHXmt->A8a@(PEIVBI$?QQ6)YVSNAgaFs{Ft#%dE{bmCa$HpsW%VSv(QcmiMk6=68Z+Cq{ z|3trsa6$cbbsz?fpuVUT;O71cBnIYVMjY%}e(KW2tXXf@5_Ks~)ya_7ESJW6doQ*a znrLNVgo2j0f0@x-$a3z?cVzb->Q!ob%ZhJZ`9;I~(Cb0(HO}jELWKo-RrbbS(~ti! z`M!13s~^?+*rdw~Ax`#I=yvA$%~)uto_>6p2UqjCcT32vIXGs*@m0I2*39x=2jJyd*iW?Q)<33!>YVO=#?3nuPFMkA0QNg1D`qKNa0e5k;bqL*fjI_4 zI&$;h)0_~j9Kc0ALr?r{1l7VFesml@@bzILHOX7;_63p?UA=V|xI5|F+JzFM6TYz% zbing1qO4np%tCz?gN1WH)S$J}fGs^$;Zi{@m{YF~PNkwSr5JvtgBsUtt37&>b5|!^ z{?PmDR^$Dp5?Y0i=NUg-WR&PmR@$Xh9KQubUu=o4KpJ*p(WxZ)$>rxYt`$=rA{q~2 z`mMN*dZB`hW!hj(@V~k}Y6#XuM-4KPEGoqXUBPm+M*UbGoYje4B5^i?pT55YCW4b$ zk+^6xr?`ml)ca?y)W-?h#CjI+IG*jLfl=f))&=UB&(Qq+S9W5>Vg=l#nwe@ZM6l2h zn2=&2lTh0Nnyc1Ww1?~a>g39#+Jsq~kUwwWT`eXx6cVrJ3liI;Th&z!m45e3M0VZ| zx=(!d=@VFe{W%Hhtn|;Ic$y$o3(Zp3^@*2k=)^luy^ttWdA7WV0ysf6Khxq$=*r?b zXTH};wIvPO&~H?Pzf?V&pjcv^{VN)=@}kf(nF3YUg2&O>dp&-LS7 zc8peC8vLKPk|(E&oj?WOs1{P>yeG5P9+J&JnztQO5ns{nV~a1}%TpWTbzI&M4VlB% zHg>yWW}WmlDM$mvW?*q@ds{P&5rPw|*#4f%DUtuf(Z-O*Y-DRlMJhSu1WtT<2BoV{!Rw|_C#JaY z3SRFeYQ0i*<1v**I(QKx#$uL-H@#&?o36ZsDJL>Grc2}IB9&M7_=y%PKQY|1zh0b3 zsaTUQrjhc>-VmEq?EZ(Z^20z*mY(D?ugD2>EOBi%?lqyZ8`r?d(~cQ@xA zeShJ5zVDoGE!L7h)aQMVt zyqfPR5N49dG)&EZOp(5DT}2YK?G>fFclQ-qF+wuss=|dE@zo7P&!lg^SA=nLcGW3& z^gyobQ!T@t9>jYos7?h(ijdb2a5vVHiRfF7eBxl=e`fPRb(Uuk;9g%qkGhjmic}JB zx#xwNFYQ`3Y3~a{r^VckLX!G(-0s;Pj!_A%Jnc^PV~=so3)U15-U+-h4$6LE%Ngng zdbgIwNxvSbNW!Wmq`?-<6XZ#4+r*&PePLzmVA5&(Q2F?$l9d-_*s~fD_x}7~A7r%c|met<4E1 zNU7ps&z>@!$i2xGU8P0^(Aj?koVu1sWp{#>M3?up(1RUjFi>k@Q$(YPf7p7pV(fvdep(@w42%s{_9oQO+_wFq)>#r%`?DKjDaSqeQru@-wdi z`nOUq{4>SCU)l-)u-%r)ODZ=N5ZpEj)f!*;k{1;cFvN9d#odP{k}U=JKh+4~vDI#k zzKYi9P2B@?=nX(+>Mk__%woCULAjX4VZwA}3VB?(BGg?uxH^50XV_y=H@~4ACgTSdts*ZCS z2jOousdJTg{Xzu*DDVpVJ&Z7V3b{Q1x4L!kz)B6xfS6c!p7@@07;ORX^hjlqC0E>1 zh82;nr0+nF6d>#Vj>@_`?dPh;ws~KbCKv=jyR3~vUa~Y%sv^hvzSjd_)+A^-+(>!^ zCj*Got?$2-0rX27oU#w2jv6aCg&Z=d;~Znhtq>6}bGk*6N#SE?3O;P->@&JumgYs` zR@!^bh@GYfTz!wt6COQy;(4;vVXz-y-}H>B=kpSvT)hE6E8IbFWHdbX;r$BuJm z;K%VhiF=z?JFnoObmTP)iEzV{4VatV-r#1|z!I1+SZ#cZ2)j~Y{Eg0rY#Z)$IScV5 z95axxv9WQTk1wpGq=ZwTH(vOveVEYXc)K2WI_W_rHkZ8N{_qO1N8uEN$M-)Lrr_V$ zAqKta6fR-FNWwBU)K;ETu(KTX=~vNl##r*ApFgTGL7V&hx9!Fi_v2GyZ+*_EW1hcr zG7r}toC4uPNMx0Ulxg^o;Sb8km&NYy6kN)HbW0ZV*(fD&c*aV|4I$!_$oK^ho#UUk)x}(73 z(aaZlTZB2!h#5}OPNNr<&I6MpECYkw1TU`>9Z-zS^|-P_S+W0^8R|wFhg>=e zxZ2`D%v_4pB}R0M-(&|7 z@Ie-C6f2}&CWdldLGXntxkH(iF|bsQk@5L^jy~C^2AO<_FXaIL@jTL8?<}xDH=D63 zA!fIRNkB(ex}G{pF6h{2bE7>v*)i+rF`@TI3U9cwxaQE%P(zq$7%A=TE`YXWgPsRB zgTCLTg2CkhDJcUqNtV@Nv}ccb=CK;6cy!LR*f>kC+$s)ERTMj>Hr6rEFgYF|eLS~N zR?5=PlbpC8iAW&Zdn-bMI1ZO;cyI`l&D&jN8XzKh9c9u8_2-Z?n@%B1ZielU`kG?y zi96$5Mbu(j+E;-S`9VW?K#YUer$n+5p6DI;bS>)Oq?(M9*UQXKFMcRep~7{7A#T@^ z>}Z0NKC(4AF!mYg#o+AR|F0eYxnbz{j*UYf)V*v##B*IZ&!Jlx9t@cA|6kDOau^$Uo)M~#I>8HLmbPMFu_ zpGW7&nT-oxIwO}$Hb{LNSYOcDUIdOGRQmLmfym@dt4DRWJ?%$0g{tYH%EiGIJ*E0% zWZ-_SK|o;isvOL2tRD3hidIq?`*z*-poa~=P|Ej$C1p|K3n?<>ka7YOYDra^h81Xu z;InMR*}FtNokBWZ0|gDM4hfl8=X(9t1keJXkukUp7D7C83UoK?dmNdc~_kGaHMFowK$R|HXR*~|W8 z@03ZhJR7>kdWXhp_n65_wT_CndTFshrzUuCw_2z7^(2&)y^?-cvnE{#={n-$DYzW8 zC%Bo}Vd$haSM`iE)xjk-L}P7O_{vmA*+p(t0V@?*z-z{Ls`BnG&mS+Z`Ib~W0)vjB z&KS9t@{>_sg5!?FLd8}7Yp3uh0G`Uh=xaLjR#xZ1{KAhK<69hdFIN8vZ}@eZ>s{O- zHd-vd#^haGWMk9BRAKZ=C$xDmONH@gbn8BC;ge46)Ynvl>un}Df&Fz77~5oHb1V|s z*Voc0v=LlBQ+1bDD~}DlPwWpzhb`z#m)5(u&9NmD&(pWw4O;DEXRpr-6yJ`%vqGmb zTr&CH%gwbLPUEy;lhjB3QUQA72~oVP%TTA)s&OKtF~XU8fAswVmutN=U>ICBbJ!%I z=HaQi8NwO!u}~uF)4tvhfwvM#6yHYo#hs&6QNgsx%v0>>U}tb!hbq7;=+sfj@XIKH z$j=En$=Z;N05{EA>krxwYd1}s!{3e=zglk}j`O(IBVx{?{-~bRA6k3iC)@hKG~wFD zuM3{#PkHtinZPdrOWBMA7>uAJE%$GGXq_U%&5x(ZyZUvEY(&E9;MH4i%MoC<;BeAH zIHo1B9-INA7c7N4OF~))9wjy5?0OSTCLFa8GX8XSHzLwug|>WdbfY!`G()3Lp|%&# zF!zF-t7U450RT`VLF`rZV(=7B%;hd1bqiiSSytm_Xem2`6uxTJJPCq@6UlwyPkXwR zlb`PSFnzy>55_5cVi#z-VHfk@HC;;-fyqLuM|0%85Eh%;8(7HK;;6{K6Yn|DRV>4iv$Oj!$8;|B zO~w$00c0@b5S{PLN1i-ol{!CDSzA2m%mo&CWcJ45C>-vVG=uY=o7&x}66z?m z`)~p-pknNOH%ga3e3B-hP<@FvgWt&Q+40hgt#WVCIA2e6eg|Gl zXN1h=;bGVudfUKrsahd=@=*Ia&47*CU$5o=bc9zSsY&%n0*!nf`(T|d!ZV^Y^R)O` z;-b$|>Hf1UQu>*4IyK-3jgRv>47ro54*+gZKYdX%?!eNIpUyQO8_gvR!ZOqm;9}jw z7&3p@oA<7gja}1EzB}~Xi-q1>ICh?(@&1X;!9-l_ba^aqe`72=71$VmeCr zE;|P8VT@ie-jT#3>|kGQ6X>Lnc)w!)Gwn;qA-nA(4u!#$h&G`zS^+b~GUL2>)KCt` z+5jsNF=0F|Tl;S2P7yX0__l_%cHD@%1HAt08nNru_3VQ;TSkE{jUih(ieC20ph|?) zZnpF+A|{|k;-jTr-OWQ@XXqOy{7lX>u*~MamYI0R@1K16TX&G8v8A_UMd3=n4nMwQ z_W`rSlgJpw6|0?=QrEEcSD zC_#TJv2oLF(}G6Of?^I^YN1x+b`i1@MtV!G0%b)c;m%hRunmdgq%vJYqH$y7J zfBS9%8VnU77jNu*^Gx-A4ak8M21DmnbOsKREtIi`G&1({*fbEBK z!Jw{yU4Pw#b-AT<{&FgG5K|(qTFY!b0@F|}8NTzP+&vYbS zXJwVq&#)E+ObBU^<^I}=p#J%iMI#a%yw%r!+ak?37+SLB^x*49+54xlmNK0}i%y@S z9U>X^?h`pBrX=wVr1Mh(QN8aatgPYzi6+W9FU~0>`#!QrT_N}V)}(160M>Sy835ea z`xg|tF3G#1gP*;SEFoN-=F&wr6A>__+{Lfnh^J^8Wz6%8cI{u!R!e(T*>Qs*mBCsm zWB--pLCrNLDse)`hm6%50Vu^6nkaBs8}G(6ZIFz)ZeFi$kL_g^UC9zHN!o^1cKzIf za~@D@Z_B|LMV|XIB#T9Vb*jP)*5zpmci2UzJ{hBGM(o{@0@*=K7)ul$I`}3Y!ENI2 z?a`$2@&p7-6!HYGJM4_f&C(u+vc+6Iza2(CwJMn=#)5}lykOCotM&k9Cw3^HwdZV? z3(uvqseSsUD1F@3L2PN{n8XocOq4?KUH{>x|2crXr=dt9tH0V=b?h9fORU~T4%;Cz zvE`;!^l|YBA9BwDt-ZU+BN~Z1L0U5nW>95e&|{a}xI)@SmPekWWu9t$0OII00+3_o zkqA^JnBxD6NBoT`JlmsK?b;h}JYsL}i-d1tC%{w_6`n7<((27-N048JZqxf)(HDQn zv0i4Q=%YMpX=4oZ|A;cnxrVA_9G8hc84Kb{(ut{onTQ+7pZSJPmKE5qd@A@zw$cJ3z*Pj#iNaQ&iRO5tUu3>Ez zdrHCbm+uRB4~tuwQ4sdjIka{A4LY(*64LL&w^x6DbSL5Ctkx&0Xncm^Qy{;AulNj~ zj3JH;oOGmQ&0hP5F#aO>`QdVIoADZ5?n;ozj~Ow=6C?JPw7T?42ugQa0pzwf@NrjD zJ}r*}hN=&ySBG6Y>#-snYM-lLoU`bRne4MMJ!7ERZh$^KgnYv+3|t0v3kvktF1l^* z)3Ui;ILtSj5vu2y1+C>bGwW*JxHg9~XLbU&lNdSl;t3w8cL0g~q<4{Q-)sFM1&^)+ zb;`J$eG8B9#;4Ov@)j&$De8oaZFbU}LFXC<0>SwVzIJZe&jOZSB!q&V62(XXCmaId@sy zVKx752j6p;WB%aB{;H+RUh{p|MOxqwHbBj1cLTLi>cm9M}d%x+_{eikKpZh0*Y&b z7YHSpt`oeSc=OpGx84f`ZzZPuaVn>?_=Q7vdXi>E!(Lg|jtG)IvQ2Dhjr1}|Yge5w zlEOZ%;zr%aw!J${9O<-rj#i)1I8xAJb7x5&v+6Y7dozhz1@wxpO&y~w@yc;+c^I@o zgaNe)Y2X^WK?l}yUj7E{E5Ps1012Dk;Hv^<=h}vi06BEFb!N9fGSCJ1UXvFBPwq}3 zJ>(2Cak64rtwB9iA_7;lI!E!55Jey*OAXAJ;@QVuUp@l8B_D$~9cJ2+*nyJ?=R=C< z#BVQJFH3}Nj~x`ko326jDu6WMR3sr+DWUTMR){TxkK0dChzZ$k>$<}vx~HkQ+zqd{ zBoT^K_wTh&nCaEH6jv1SGxEeV+Vdm|*$iK<{lTaWFs(B<2n(nP0f!R8n#yNyG9?gF zu!Ar3d|QiwVS=u1tT=e2Lf_57XVOgAIU4X*0*ZYn+t3ek{fTFdpAg zteVs9BD226Y;%&yI{+%p&^+1OI5S+nd}VCg+A&wPI{jgJ!u6Qb8~P8ad;P_pw0_7I z9kW*|Eu+RLHq9SS)9#IXY_w~Fc@Z}Ch;e;g(ZLEE{rU0Q>TNnkkV_;t^8M%fSE2vQ z^@n46OmJ(PZBVQF9%8GR`{?Z5KJzL7Rh@G=X$dK_Z&#Z?ten>@4n07|j_hMvj4Zp_ zu5WgZEXP>7DPTjkj=k-sD$UyCq(}5u>o7bCjWJikG2`cnnh}s|2LL6(#Z0Q+ zc(>j)8JhqlBuKLiEehv}r9GcCnmI!;RU-PZ8S&JAZ*MOOg}Se+s~Z&?d!2kl?yQU5 zlT&YgK43yol2gRZFao`FTztPBypH9I9}lNtMp0x0If{520dNPcH<%`sq!jz63Ax73 z>k3T=iFH@wjhfoBZue~0r1h=MS!tpRg^+uXWMPm5Lj=Zz8I|^=X>V!pYWSmC;M1=0 zKP3H+688ltDF4?}#E&RG#&e%11-^nNoA(~C7Hg1~kM{xL4XF91_5)b*D{B%p4-a!L zy(zl8-B2bFYr9Zl)AM{5D496W}2wi#omutQ&tF zC|l*QUZ?v@2LO)caMe2T*g7g#GXp#r;N}Iu#p5q^*twfDt!M(z=mHM0iebFRiI2Q$ zf=6hL+YoIy6pp*laKan)r}?cd1c^DNa3^>LI1!_fER(kVcO6>IK>|S_-Vzh|rSC^e zuIAP`yQ%dbMxnhYp1^l-T)a-*ceyoWL*&Y*m++XdOE|XKA*b|Nfa;%Odi(-!96sdz z@*21$A0R%w;ZJ1Jq$JPc)Y<2hI5_MsRLGi`t}$G3rAEx(sA;j@CbJzKkaKiND`z+w z9GOg0Sht4#cowzo(hr@==sfC~N+k158z3bf00ti_@pRKMBdFhsGBIAd_f<@)Gm z+WoMe9F43pBF3LHk2-}T243^G4KHY-uhSuQs%}Hif6B%QT3#fjzZ>OJ_ywN;tkmi3 z_oD~{_31f~gKuOVF-~}89rnCt;vjNZcs(+JP=J zs?*GGW8`>uO@Ix^uxB&E)n7Tr8{PDWb~pBY3dm{Y7Tu}g4fYyut|Fj!(H`~+twRN! z1>){we9#4DR2+4mYLA{kkDjNsrv`V0Uf-0@Iw2G zXbFZozuR8Uue^3#D082O0HOX^oVGcrV&3ygzv^L`XnD!cLozv0;LA-C{k756riP$7or!M(uC*Eb(uZV_+V3zw+fEw>$u)2pzK%`~T| zA|+r7KaUT`W0zS{Wayt-cfDkrl~#W&9k8#Mrry{QjXN2YqzP%-82Z$BA|vUm^|g}! zzb6CzZTN7Y8~LlMhAAvSR>q4|p1sHy{o;$bK}G?r2>2o92j6bJr*aGJ@rl-8uIsgl z!}oFLIp3c%Esn}8I|&!`a~{u0Rm~MY%$_a>qH}aVtZQPrBXve(l(~DouQd>eC}3#e zM6^UyhU4MK(aCE{5Y*}m-wqJ5I{oV#zrTd%^YH@i%$vcPgYfPiVxQZa?prH`_EH-)9(~CXZHCovJG|aZ~TxEw-LGWlI`p@=$ed!wu-kvE*B!Z=X3fWk>oSArk zr+d44A~kR(`j?=(o{Y-nQPZbD1NB9+vAi`Am>*w=EH$_3+$s#HU&mx=YbQ*6dIhLt zI6d<_5(0GEEB_IYzl25pE#8#I=jL+=up=F>1BPKQQ$7%2uxP;?Bj7XFkew%kPOY6o zDg_MrvbwxD;D?+(<)(0Q&xDtAwJb)1n;Ys_dE9UbFl3eg+78&v`TyF?HATGj>rYG- zFj%gWWhH&`6UF!ykI3AFmG+SMHe#5Is|c2NRE2lBNZwK3y;Q8J@D<$aCWtwG;GzD6 zGnJ!zOIo(z#CraRPe6Z3#XsM$id@NP5BOa6ZiX<|>r*2#F|s-FXr4^3{4rzs2R;_m zhzl#qnPF6gk2mfiRJ(WkzfbFb*UI#Sz%%^&N8KL}h6iD#bAa~0smB zn!`PG$Ac-uJGMkef_97s6GbcrUM~RRb6*x#PZxpt0>bEsjg7fnLAEWzVgC zoxW@}*4G!D?mmrRxmO0MB;G|-=Y#PHy@8b67h6rLSFc@?hH;!#Mv?vw9d?Cx?hJ)F zz#tN&aAI0m-JcJHfbbdqTRfh~^xPJ#-pXdy7Lile@bYA~cC5m$;}Gy7Dmdg}K^eXzxs}lFQ)0#zg1D0=+jNb=0x{*ZivLd&06Dy>@oq9--V10Ib=VTq)CikJZm-* z4Zi-(_S(g-*YF^8Fg^%k|D_R_9tVMQv3XLWm>vf&6x$NltA<1hmXB%rVH&!>RDSaN zNUuW&zFP|hKJzCXK>gU97}D%$-6pLqj>HL7Uh+(3IA&|UKW%h$l=B;)5#s_N3vfaW ze*-Y8g6(CNz1a^T6s&tf#Ccf}jG##ed43Dmy~o15+HyhBI0E!Bm=!3uv7mE1NO1o! z;YLJ%r*hcEe0U7Ux!<>wI_x%Z}f8DMm6F$KQJVm^i2kPJnPWK&H zZXMf{<&JPiX$>4`y(8#O6>{zg6ox=nd%-w&qA-EZG#*_kH?_VCg!dd$SfLFZVG^8* zK)2b<Gi3zYJkhkk#e*uq~0U8$cOB#5<*7L4u%Z&pSZ>OzuC!cb@=Q z9Gl;!;QP$zq^U#?nx`4-5GuY;2K{mOXMtjVOz@t73B?Dkke4A>*!8-%*s5yaj<^?b z{IkGd^UHnr>=uIyXpbQ9BJ5>hDxe+h$qRU>T*ohwqdMRP4S#C8wkf|dbM%0eSIvc# zX!wBLbLNUs3hs<8fPjl7qv_JV$6Pr+Ywib!l&=iwUi~ju%Bw@naFdUI9wfs8IMc%Z zkk0RTI5RAzX`>&!-^-9n>3+M1+`uq>pBmBZ2x}Q7aypW(L82eeF;|s6u(a|pcLgZe z+O>zJ$u_;?$#Vr6L9;CtmBXD;Kd%>b*fArZt+Bz0$DNCg%1@)Ji&u4Rg5GsrQh^2S zzl7f&CsdV#Eu0pdLawR+&v@a=^vsNgp&Rg&kTcIOXE zlTL!*>KxSZ?$z>S{Qm5b(f=L2YI^HN|*ih z#U=Bh4?>D3hWn?*y0@tgV%0?vJm`Gt#+s^~5TWr`wlbeeZe575_U{gbS48?_lr>=^ zLsT-3XzR->``a`-IWZwxTnT3YhcOa!Wzs6yH5_BrL{xC{bJ0^=tQR<;E( zKpEH{TV;zC-F+#C8DMEQgo+*|9d^0#4(4dc-g|YTBYTi|+J126>4z#`*Dpyol=iks z_U&n#5f|=OBXt)=cnC9fkh*-KAzzg0mF}e?g|&5!_0LCROTw2^LwF)d&YdfxB`XP! z7j;E$PQNf7A@_tXiaKhr2u~s3gTUqkw4X%}XdXAEN&a}ez(o(ofM7!jCgcGz@s5D% z$hkv;TQwg-;WHQ8Y$~jTx#Czug;V2LKM&PTs-jPoWqfjLO>p*ek0yLS73Ssfx^ND8 zVGRuf>3=h+*vQCBlre^_2;0c#Y_?g-iK#X}1}{ZpYC!!3m_%2;95=@EtTB)>f?U!? zHc4D_gz80O{5SHrktS)^Z||RUM`OP}nU$>}xKl=Q>nd{St}mBukk8G+lFD zKOW+5bjaPv#HQ4 zcpVoDYDNaO@ZvEWD#RzSa;79%sHW6CCayrCB7U&bVa;~72`R?P`eIiGZ}JJ;aoJqr zFrOAF{0KSpO)vPlL?^>W6(C0koz)}!<1s6M0-P9zc4Kk zf2+k)6uEI*BtH&rdv_yj6AN{S>NzY(Wb0;B-FE+>$bXcCsX6-<#7m?CLDLs!?rp;v zek>LFE~3B+&r20c6x?K| zz?dtmgIpeazU+kli6uK{)0Gr~c%qy^@iOASy#VOfZ;}@XUK`?Z^;jd~-o?S| zyatjjy0?=7cVlLDUjgOb(PBM;pu)7f>7?YxAmBFSQ|m)6ORb1vw;QwEC54_@@}1b<7)Tr#GAxq^u$FPcEEV5?BDhYkB~r$DHbC6DCy9a zCYQ^O(r?=rz*?U~l-TWwlxM>`S2m4jk)pXzS7Yv39dfLY$_1o%aFr`xLrll-@1tpS z()K5!$=%N9W)gHqqsU$o=B`Ay<@1*-hV%X;CC@fgBC=2QN zxYK_Kbnb=s_W0R)@mf}4U`g$ZCuw{7P)g_UN%XKbx>ZQis~LM}Fk-pgiWSUmY_HqW zFAsd~CBk?i2J@A2l*a?;A`4uBqMw=NF82u-uyu>F%?YY#d_NMYU7!cXYyifuQ)#+v z6;msMS!ba?4;6zH9`f1Q8Z_z!r8tV9Iig|(@l>f4;H8|ob`AdzrAoo%>+y#6H1OAG z#rH|t$JWJ;D#vaBK3YG{VOt7-8MGF*wg31G?k4w>*AJ5+Yr+z6w-<~{d1yJI9 zKxV6UR!(maADuAp&Ld3Pe_=ns$m_^?MI)2=u0)6U3x9w6T;<5U2gI(qKQ1LO9pjnI! zVlM+_sBjAqa6X889xPVu6+zHZ9(#x`L(`ihL+hZ3Y4m-CQFJ5pBPRZxfRBrR8 z4GEq24eOi~9N_U-t;)bo%1pRDdxTW1;Tk_VqDn zXIR}WW1>G3OE2aY6-qB62b%U|fqEe}cygVm(+H3DKxQ?Zf!~yvlu;aBo^umBe910> zt@p_?{gg&-inbEW`R#_z{>Y3P!Czbgi%Io3$KI1}-dlxRq@|2VR|E*+ zL%<|~`yiTmzO!(6I}$U>$?P#XmY~cR8G^7GD)Gw&&qCf1@NU$2?8n@OY#cR2Me=gD zem*K|{6JJ14X@Bc^HlT7RV|n1Cx7>?;Ptl<(T0s22sARv^T0oZyKT)Q_+;YJTRS=i zK1jl{9(?Rp`qNTIcpE|M5AIR5lsy36$U=ho?3a*z^MI`&isr;%GgV zFjcFZ9Rx;^FHPj@H~L?)UcQP*;LY9M9QrdVN9(7Az%a zKP)h->)rzVyP3Zk*HW;ckGNG2QJH}J;!SMH@mFUav!{cF1ubmj$!Ng5SBu~_g`Hry z=@8hGA<~_nEr?<<|3^wT6@2#jyh3lHF+csE*Co!L(>f2RZoz+$tph6EIrYjo#kTr1 z3QkIYM3u*2wH^9b zs)lhwVLc)nG=S}0i7#I(m;hw=!95#xRO2~QW}o(L<%*xwE7R`#VyKXA;3iGW5L>5H+&`QJ_gj!*odf9{qiqjvSpZ<#Oe=_1lVcI0X2`feO)F_rT0>Rx!{>?Lkbi z^&e_7g&nuWzQy9w0(Beg`cd*p(AT3)BR6an(VWl3VaHGhyJ{RGGS+Uo()hq^-ri<| z5Ymeqet=^s)#1o9zQ0pMpSVA@3o{^xeyqJmV5s6mT}vv2lqTvt>0$JZe|V|h5Kd0k zigd>NK}6xTx`CSOPoD0oj}w!uudhjTW;%ZhBw_vQR2y?#y!doWUeXBJD*gwy$;Q_! zp$vyGZ}3=$ev?hqRu!JvhmuFZ#h-o0s|79c%4|k%V^behIatn5)Yn(=kur+8ZKh-@ z#rJ^L2>EdRZl*tOSl-_|o|?U-jKjheybS2kCRc6Ey2-wI>A~zfjL5EkFFE?K-@m-f zYDRnhXk_8n^Mta7_r#ukm!uIe3-$*|xbp64J9ix7dqnSZ+_yYU$H<8uEj3s9+1{un z2K(@xz-_5~Q1DX?bm9ffGtJUSrAq&Fs=*)E5Ke)+hKpA6l9%$>QH+L%G_{+P_XR5~ zA-D8#-a0iu_%^BMyFiUOP_P5li-YQ0-}(N$tRp~5{(40tgAy2-2x3qpNq&4=T%zWV zx+Nfb>XbNsXc4}F6c!PQKSR!tz$4SS?l{}UTt&U%jely^pVl^Iduha7TY`(=55Kq) zCyYO}Ukc?>{VB46!{PC4cPT_DQ9fN zW7l`rCT}%-qmn6)n1;ZC9EJJRoz4;5$-YkD|1j?t6p|p1t%=8b)30s3gh4mn^;1c!~+OF#M*-obV)Iv zpg3SgQU6o6{Ch2p8?^4QyxXmP7C?E?Jf|p-Z$#hc{hXygiFFVuS-Pm;l;w*1q-O|F*`JJRr9fkr$RxYI_+uBW&G@N3$S9<)8M}1>eF(5L zqf_XFR$n6IP-U;)Tp)<)&ykPoyIdj{0z`QcI+(hI%raj1l%Q6Xx}(Z+Yai^gpfk%i*}2zXP!QV6=;YG2 za`O6%bM0X-D*iGbds@?=%Aq?cUflC8prHpN?d@~qBUsEGLn?#l#N5jG4E*8Sr>oba zK`A69OHJh}JA*A!*%e*mFsII|0RBba@vY+|3*t%aAO=yF!+64MSm)uI+Rp@dI{ykY zIqyTb^TFO-kba>Vfw=_uO|>LVRP#@UF3$AMvL9tTYtvG@F;vR~tu?c-ZZQcUybjP+ z0J!Rualmi)6S5t>68+`SU0In7VQMOyY_f)%A+ID^3LgkEU*=wcMgjN1h!8N!z+|!s zC!h>46OTmxb*KW-H(%B-d?bSQpgr;Q-{irA2RkI@YfA)XrGq<(-jN6h|3;62Al#FzIf>`F-jp04V)1m zN0u7Tf>xU1w{z~!*A>8NN2SEOS|j7q)_&b(mKrqT9(US-XMGC5Y`Svf^mhfTRO|zx zuJsIBY9o)EOXIciU@iARcuHlX#ZU=b2%T_AxZ<>g zbxm?4#aC^lD}W7$t!A!kWOe}-qIDRspd;C!DCZxO%+&bH9vf#XR;T&G#Y-nj(D0<^ zlb8Cgrxj=YfL2ZPgHJ#8N=+o%>800kt$s70@&2r~nWNVR=>Zj=Vg6EH8&MEqjqc$L zP%!uGcC&_Cv4j^00f1WK@%DFW5NEe=E5sth7{q3an;6nS_eQG&@mP8gC#5Ty_Vds9 zz{$qXzNGqhmg&xKKiga{+vz%2GgjIi_Eg`5*zDfy=ZhH@drKz0#+_JPjpFhPqMQ+} z!CWy5QR~G1y;@+AtH8vG9B^2}jzV@e%t@1e24mIEVYbdsfD1lw*?8eRd3DqqEh!i# zfbuu7)OoVs3iX}@xP9$1eg}SS45-`jjWjacCfAUg{Pee6Fm_b zPy+^<7yTRDk-tY^Yxp*uh0i1z=%`Vc;itoyqKQ;orM*VTSHUVviB26J5?l<3-jnDV zPYcMwP}VC2yfe=W0}C8C7v|u|0xhN7L$M`m-)%Tuxk>3dK-Ejk3^5=+LjTQtyadqR zx0lfG7_;gpLq#l>hRbgP5O3~hi?PY8$qc)$6nsFcgvF5xZEj3olj8li;a|ovHRw#D zr}%eFzm+)8T6)2IU--*0c+rEkb+RB`Az9c28Jdn%q{FVh!@FVL53URaqjatQ#S$1m zpj(8yxKXD|fYz}Rnz1*IFe5eSi?du82<36v+v_H_?Fv(M-UULJr-CO ziFSP{qAczI8LoY8_2oUwaGs8@hzm3(!0?+^)D_c{`ORV(e2vAlzU#nl^>wtsj_G9I?Pf~ z@)?fCcl-VsQ+#9t`M{`Cz{v@PCzW!cLX++&C(c74>dpRs7$Z_&+e-RRB=&gu`5X2r zq+*;#rXq9Q1#kU;<7sbg$Jxq}_X@qK_ek78334hQiq9x%0Q5%!t)QOg&2duyYXf+M zqRfC;Aq*-Z=bN!A+DDR2DhVl}TQtpoG8mwLNHLd?!tCt@`jzUSpZeVQPcOz*RZ3!j zLvu06>ba?zSFwX{hr`8Q>O{+k& zs~pf)WIULv@6RkT>;f(x&S2-(;+ZPBi`+{~uFHz#Q0d`C8G$yCYo#~u)E}kW)q}ME zL4H22Gk`!_XJ=MTjc99YD~ln|T%!-ViKI0g1DUH$YqcG!VmS?}%A9`2(nBW)6Xjy4 zWY29~t+*hkztsco7eK28dGX5?7VOOkzp3?BtOI{fg1(o1G+b4KQwT5_2>-=o;B;a8 zAlyV`g+;DP-!F69va-3gak#hf;+sd=)qgGu0*bn$xjQR4^T`+g?yh$-cg^s5#M zt3|VUy(mG&!aLxpQ7PPc{1J}^cum_^K;;3TEyw0+=A>p^S5GH?4Go64Zq41X0ZoG~ zfhp-O5pkTC6)rjXaQ2!ek$ zU&Hnt0?tjP*jm=@W`zCn!>j;Hkf6G?NR#SsP%|`XKM*#dUThzzR$ZmDD{$p>S9X$ z{)|4;*1C#K?gQ%*qpgodVlBY49PXs#)=mdOmwR7eZ+}B1CP6NLzd)ym0SnN_y#_9W zG{u1;CNrOTfB5vitKa)N+uCpar!F4}6k1Qu>`~#Eufm*sRR*c|1WigA}x4noF= z%Ip!&5oVMa{Xq8lzwYMaDV)u5>GGJ!^M>Ch_hIBlnHN5iV+)E-iRa5(IryNOfq$o7 z>$U8n6G-L){w9D4?$EJ&y_9BN`tkd1eR!8F6lIX_$2JK%h{_EbI41^`f8)D5P;n*o zGV_})-vR6L8<=m9WpAb>x3h33z`@e;{Mxl)wE8&{R5B-VoErJth_h|n(IEj|wC7Al zLiC+h7xtr@d9rj97W_)0;kTa(VGxGVqM$9q68N)YykH^|9sQkW z-p9u~_3rReM@KV|4wv3D7k8;QK03x6!t=#Yx~iSA0vv9vk{nomjJD@kD!H*=X>sMg z`07(Am6xw}^9=ycy{f}ZvHTAaRO7~umEY=zmRms)IzsuZARx&81_3{^15Uv(AtK^D za)>S%h{Vap7a`3|)9Y2t8%T6Yrm8LAj1jZ{ew=@RV7-%ipi7x7FfN&3ynzec-UFSl z!feV-(gT5PjO>msm2_;S$KxUEQFR%JRWy%===>E)5M#g`SjE%|`zx*(SCbTje%1(+ zF>PbrGMPo%_WGdX*avf_*plb^=WWUD#y*vxe?>cXlU{v?)j;@?P`Xg`)f*PX|3rL; zVYqn2AJ9?mB3<3U2ktNHI>Rvw|=V4sm(`h~-^c6K`Z=HwxQ>4e_^VrowR zCw1I>HqA6SQk{j(>SxV}dRFiKe^PKCJ#i2D!-U((Q*XHTeONtp1O^M5DcqVu3UUG) z2_>^GmVtyKS;jX@z=GL(`46F(t3!+@S{GLB*%o&zC<)lZpYATY^eUojFDuyc7QvD} zHe(kTt!|KTq`_LNgIVuSObcxJsC zgHnb3NLp3%h8=sK%nr@7cG&5^^-uvsT}A?&yHJxN@Vf)StFajBfIxe45ivu~`Ryn7 zVW1>E(WSWHKdTrtbPq8ESd4B=64t27AxE1!IlN}a7IelG%cG_I6S1Skp%l;4YICE{ z;(B31!*Ba4ITAbVj@b)`n3r!h6zOJkPnb8&f88*1-5ZxRK9H|7QU%q)BsLr^`ieLM zvLoID@*wNx5top$l}{08x$DYdy?h-?8)KV$;vYpgG2q-GJ?^&Wk?PwL+q4)@DPcLFX0JogFrJsM_I`_=to z3)(tf4I79N{zXfvDZCP0yqGFPu&^^+~u-E(`_ zCjGj88)jM&-Umo3ck@6Tw0B8a8&>*g`m`UI#Rjw_IZ@7?owqGmkY~N`w;pd-_aKOh zHx<_s08wIiH>d#i(t>Jzh=(x?SfwPnH<*LoL)W4+|ZjXp(OzfM-Pr^jT@|$W${PwgNL7SHroY1TkwX#P;^6g<>qPairR+5r{%MdU3GrP73dq;bkdlQT&Dt&Q}&BCFcy|20eLSDj!xe}Zv zAT!C0eDUmf$TIB`uuUIJ1&u#7sK2dW?kIUhUb^16VXRMD`*CHsJTK!upi5us2KLu< zs)Y0Eh;eh1R8-1OcM=igkUZ$MEZ(Kfu(LFRy6d#~Xcmz~%cWD8Z&UOns>fL5JXL$b z@{a(#g5iloz-JGU3#HS|rZjFWZe}%{@{Dlb zS*EXSd|e2foY0`lXaBQ7=$C0Bkd|yDk?ikY3&h49jSG({9{amrSi7~u(%`#SU0iuk z;VYboU9U%ZN?oOn&RVc?v;V@?-*ml_Z(z@+oJENEsH9ge?84PLuWAjkzRD7?o8J=TneTudua1 zR;DIzPqO9miI?Yep})hJ9oJq@ z$b)#Z-iG$l9Or(rzC1l5qu~?-`5C%F25da43v~RRSbX$=I#J&r#;e~SG*6&LWW=iC zhPX`XdC06J7zD8n1daj*kR0pQy3l~q-lous0M!WE-dt&Sp8P0==BrquaN_ek(n)PP zGbKKwx*GPAT{EbqRf40=AW{Bm!uC{4MgH6!s`O^oG=B`2FY9#dv zJergZ%jurpL-LOpgFekKr0%Kx8=&w3HL(dU9~zVnJ6bKDwZBF!N znEGU^v7u|5zQ(JgaA9ZQYx#u_WDOQ6RFq~33<5)C0wNT(0GpdrASdV>xWa2(0H^Xa zFXkaTYCnFdIz0&Ox*mUjU5U9c*H~X;F2pE0v5M}@OVBN$)sY3D`<-FX2xoGLd2?&L!6N(I|tZ)jM^SQo9EnD{}N1g%4lU&aTb36 zH}?=eo9_w>OK0yfU@h;V$0DHn-y)qfbDxKYGH@xoJ0~wZ@RZ7asq@+P(Iy$`lDi2r z8XTuB#Xce{#B3dP&`$J_Ssb)QEaoVe$INXB%?fZQW9~@I{cZJGzFTdS17jrV?tRn8OMD|Gb^r)g;W_ zFLXo9lb_YuEcSxF*}Kkd0sme`0PF>}3#-Y=AbLDh7#CzRyqMN;$X z^6cI5&ri`j70nbQ=AcP8z1Z2z$Oud-SDGSdn|^~>9@GH;O;isb0DTAX&Pz*nELMAD zmh;3kZQ4oKjwFruc89Sh+RX~B#dpq?oI|Nj(xCQz3|sEDcdWQ@Bcm7P1BK23bxZUO z(6uK5Apy4Zg9&ZQgOzf4=&hvpK%h%`)zCcKAiVK?j^)pKT`p8wcYYDQ_*hl#@;|Pu zw`2-v42gf`vNxDK&}rwXq+vgxo+Wq7!r>${O0Br8s9gortxXRm_u6q8NQdOgz=EVK zoV?;~#!`$T78dZ7dqMFt_7ph;Fu-s-suuD(UDFbwU`0V`VA^(p0k8l=%3eD)MsMO; z{(zj10&0nUh9#oNV%51cO5)=GL)n|hQ`LTd<474QLlQC%k?0sIq6`hD3W-P#8Vr%~ z2q8A56e5LViXzHf=3^`pIfhVij46lAB4qxpeN?ym`+Pp%=lA^M)$6|5dtcYJ#`k)! zbwv=n1oyqk=v6$nx&TRjD%?-ttRNzUN9M^KimOZ0Lr_0+CaTf=yB_cuEl{S-b>Exa z>SfLKW9v#hGVY<>pJKBz<}A7Jun{+ZKilPnS#sJn`H>5&CqwCjq&0qh=j^?uF;=m+vht0T%JGlM1k3~S6qGenVgKGH zECFqN-B$QLVFUO|UvcknjPO8bZyaH7p6( zV~&U4b|e{Z;R4J2;|?p4ym9l64`6|9mVW*C@$lRKtiGVTUFY88w+X6b!g%x5J+V6t z8lGGgV&-|y@MVeVou)4qq4a~Dr_@z{y)g^nhcV+&cjt%trn@cA0o(9H{=As{Gs!3R zd(GN;PQ4e)Cy$^hK-GaK2iGl#nq@K`eOu4myG4jUA&dPcgdCSy0&#)iCoa}3rg>k$4 zwycfYeWv6Dq>ov^ zqOs8i2}@!O zo!cR&jykm_calvkyJ$mwV_j|tkaHLXd4Lx&`#x*s%BM7T7+?Jm`Z$lGgH#Nzh<5z7 zQ>U*%zISRlcxqAA0@%iX$YGlNgF_hCW_Re&tNzePwn+S1|gnjcUg(cDd z3oEb@0-$hnT{Y+*g+cX&1J##bErOvEC}cHzy>^!YZ{vi&(|jZ+&X`XLrSXKb9Hyb5 za&NequgI*kTU7MFj-rT|xnjR_GT^U(PUCkpBuC~;C3!}qc^+o`n8`F)Sp2HF5b!ay zD-7mJ9-X8IDD@bI&hhY*>h&ih@MSYvw7D_#pa!i33*%P_-}l*?F3Ml?NZ!L2O5>Vd zHJ|BV2T|&MC>jEV{l*$zX=VRN7nTaGn%d8n*Wy_WPFbne#@a7OG1@WOPIZW zR5M4hmMrpSC}(YI$iKNXoX!`1YNQ)xzA#8Va}D`+U%o{+^zcKA_qChWxi=~rxI%Zj z@^}N^p-xBxp$ZZex7yNxH$8$s`(o7Y!3OM36z^tUJEQeB>d;}n0z1-C0OLQ)DNl43 zW>+OA{SQ+CBFrJWGE-L)BZ#23B56nCQ>F?+psZ9@e>c_XQqj2HK)UZp12i@LX{cV? z48MoOLvEu_fS$i?HT|pJt4nGySK!c(iuJUZOZv^Cf7OekT~X-k801BLq0dJUf0nNR zkjs$9Xc(1CtU>-lmy)o7Mldn!8#QW2DNA){*$Q!ibN- z(8{4`&etWK02dkdg`%r%m_6p@H}+hso>qo(cnA;s9^lN)Il;1^oYY+KmcH_h$>pD5 zcGW`=o>cojnM-f)3hd|pA8<{rB}WaV4&m|kX6R6X#LkxFr;Hf&!{^jM6D7=G;#Unc z4|=$X<6X|Y-50s{*vD6HVg0*D&Fo8N08(L_qSWyEq=gIjaflN35K;ocoFvAut zwkGf)tQ3O;?X`ON^sMCb9Hwzh$C$kVIQjq$`|q4S&`X*hxpvGt(R=Y8UwP>F+tz1x z2FFhHL7;T|sjwkt5Qu3ow$=vv3osvV3jNzonNa+CCmUjW3&p-xg@y1XYW+BE82g~J z=_4bJ@-|-tJIh*r;%wp2@t^bf=O)*x9q$e_24`FHE_FzC@^p+E&15w8v(iL%YTXfj`}9i8ob;G;?=&kB-G{ zY)=KEasbK)01!Bc#ai2->H!JzUIYFKW&}j{%C>CagzZ`Igx1X~APR3Yic~hxF$I?! zR`_`Dgo%SQBWRfE>+dc)S_nLJTE3ZNy@Bx`@a)-E^u$`I!3=tY<8_!@TWl`cQra*44|6eA4K}2V zZ{4Y{t}tpm!r){;L&FHiSHPtHrzjBCqH*NyMzmrhbHA31OhP4zWhU&IO zGD~<6v-f4gn5wod_u21{?eJUws=v3`a004RO*MzHJm#isd7Gq<^9ZUIhUTWQ*)YX1B|!%?1N7%yDR z6BtGDJAPwZ12F+;1rSpZp8yk;<5>yV4LAi}9i^YFw3K!8vB3C_=8Z7`iw?=)c3?zp znIkYG>kj9(y&h6b)en2ja?{f zcM`)B4DQ8o(aoIqcM7li*k-)4@w7@S9x<9ZY?@G)ZWNU|=&AB4_iV4n^$yyWWPc)( zvI5&&H{GP-_(|=&UD0U3(|2#rEj)d0{9}~=b|twhBG2auN&s}Mb{G#dQ@Jt**B^ZO z^ghM3LVMa;g;V~>7=V+dkd-!N83fG~;~N{IgB| z#|HaK%k&=QYn!NPSyW+;$mT1`QO^+SNGDRf(>%v?LilvaY`9!Q-3?2#ojvD*S^XZ+ z_!Iq!f>O~r2TiB>FcE#Ym9sLAJ%=3^Z!I~InB!dvo9S_C^Ah;wFZ<+Gx1KRuj1%a* z)IayeeEBNP>D>Ew=d&wz-C>AXPC8vQia#*;%g<)yb7omY-FyIPN2NJ0_64&KQe@IL z7hE_LYkYBRZjg*O&&n_BvR6J;HZPIk(phJEFRoYJv=3(=pE3r71kYYMiP8o+&LdUi zF2&_Bbs%vpb{|`&7x1N`p?_wgI{ijuYmPthwza~&2x4I)auhS_c{9(UKzAob>D^r_ z8Url5i($ieG=Gtc%!5zivG z-zHYgbG}Z|P6~Y|rNageO!S>$$HbC|ruRqb>QF?x@O=IUpZa8npuQ7D*v|OMdB0cl zB^6CCR|S0u6M;wja*+6n=P&tFVqBJ)Uruj0lS@Y4*$dsPZC*@6TQ zRIJ1kt&)*h0K?0eZ|tXbFtzW$GwZsM?DJ(T;7z$iA5KWc+GaaNSg2`f=s{X&uk_68 z)8oe&@QywX@mmgM;q&Z@3#Z%IH-J1jQKY3WD{AVX2}p};Uk*V8S4gO34qcwe#1hWH zK-clxK{o9>s+doXEK(_c8=TmRy@K4fv+v8Pm^tPUy)>q^q4QFdVuPXJN}5xvTb9uZ-2!>GlX=LcuzGi%n48Cvrp5L( zSrB*-?mKWx>&)fAT+>QRuS#;PkBYAPwTWGH`vtKf(@Lr)Fc?j>2)l?#8k{|Q&%;ud zYoc0DnI>k=$&wd?_hbkki6sg|!J zxYB0>N<4izmDMnWltlrq(kzRy?{Xou3oc$noL5(tug(f(rDH0|my*Q;C~txv?cz`7 zFI*bDn>5Bwd0)F-(NdCKQP)i;g^r-O4i+a>Uni2ER$yY#)z5)ZP99?m!yWNn*k^M; z^gfPS@WMv=6B+Tx33rH$1_t;3JXPS!12~s^$F``sM^Nkz^3IwcPt-lAR-SM4C~@%7 zO;|??tRr^X&>*{^fU_#Q{!X2eewi()-KM8Xfeq6XE3XT;R-gZvzuo;Q3<*0~;j>(7 z#Vu#I8}2r{HwxqnXZKsPlw(=@an}GWaDdg{qWScTZRmqm-X(r8JwpH|P8bl6i?;02>k! z`%aSeLur7^9_;L3RR*n`iFW(7QNmz6?!ys;ZtO!cT#!@iG5A5#0p8qtqlqt0oQO72F>H%=2h(JzxmXp&m;xZn|nIAC?{BxhB>o7$j*vJ7U zw4c$_6TDqOl9njdHW>io{g7LFnKrLz*DMk0znSkE&ClAGe@Htk!LXoIL8ITpuj^AW z+_{hh;nM-plyayI6XO(IO4FcxEdc+m+aY9mfzdlOqR+65_xl!9hd>Z%QEg>t-}qct z7>hv;dlRjU-sc#fZE-!VUTkP_Df-*$+)v4Qt=@eW6H2qe$x1tqP*Ho)g4v7S?71xg z#06Ao>M)d9&tuAdp7B_jAB-?zG%8~-US?_YFBN5xJCeByo_Xe$xyqKz%sBp!3w~D} z6k7MO(Js3F8eBl+pN>I|xlEuVBaUxBa^*oA$Cwi-4+Z3snpBq1aV09 zV6fw#(v2Dd9aY}SrN3VO@oOe$Ota`}MDCg|QGLxv-9X3YrJmZxR%sbqNscBt&DVpH zYC2Y?a#7P}Y!|>5;LZn5e4HAstPS92BTNr`9nn0Z1qW40=EMlFnIU{G^)fhEL(PZt z%i6!*IIg6bv+b_<*>bY;c1ns|?$l@6=RlDBX~@a3$GYv91M4!3-odJ}~a zHD@0W&6>6zkI; zreS;3z{TgI1YY~`%AUTZ(~5E$NFmhwpq4Ar2}}zTS{? zshTmHp`iNi4DPuM^4pBvJ&h`MrNem>_C8!+s+I`WN0u9dh;d^k)QjxKxbK7rJ`qtZ z%Ak!k$bU4>Mi_WEN{1-zZkY&v=6TV(gktVxPE{~i0}tF*q0gV~(v`jE*z(67j|L>H z%{vncVSjGCe&106Mh*Vo=@3@fs z_l5~0`!_gKlklh?jR`8|dn6I<`D64vn^Df!+ZiI@F}db`j3eZz%9^jx}yLsQ%-OS?Rv zw5KpmPw>8*J!eDznawox2ZdFOM(Yilo9Q?1pXiHY#5V+z!-NqHb^W>pB}^GMO?aOXWA z;nR7rbIsSbUpt5HT&j}?uy|x4>>YWIgF=4`&{?w+L=EH?#{m%jyx`M%1U(Yu_tS^B zQ9`)Glvw8lA+*-snkll|opfL;p6~K;DnA}DP;^oOAM;mt*{ub!A}^e#ITU@?ZKw=bJz^7f2KBaDNMb4scwj?_d9DB>BmZqCJT@Ey}{fVtWZ30odDxPyvhh3QR zj>Fs--TFJk5*Ey%8NS3^`s0GCMLf4J)w_0GE2)jZvq<7!XL&Fi?dZc5I&}9#IQR%! zdjd&!zGmb$P8BtzU0y9(nj%^PufE5;VNL@H=G2=mLrYdZGwJ3Yh+}KYeK<#@o`iK(3Rj#-{0oK|pwK{lTfPS^}D z4(TFrkH~aF;pS`?DZ0)oG60yGFf-TU=lA9V-7r5Qms5r9W9&rJ;%U`iA`1B1b!yAY1Px= z+(g^u#sg#Xy+p@~4^g;9w%*R@$iK0+J1P!cXBm22D#?|GTK+_pg|TY{>o2!+^k)@r zZ=j}a*`-<(mo;zYQuO1}Z!Q61koSp_b+Ka>sb5t*Br!kQn#gb;+KhyWc&TjTH+JRY z*#>f!4Hpgf4rbwI!FBYezj*QBYIfP@^pUSYQdu(#c}iHh^z4SZF(Bt&zg)ZO<@3vq z2Fo@XlT?5cH3->*l{+%`@?ur#q!-*dDhtIX5c($P<-TLZ}Flv3x*@UN~Ds^-x!ZsHFkcwzjh^b-D-X>fEP0e;rKwqv>_ie zjB0?{Z-w23+D}SXR~EfGul5JDthjNCUXdF#b*^SNtHpL+^eVDjc#M>sA(_7!%~s6X zi5oR-=`rE1!Ac6lCQ`cG@)<)q!6~;ZgxMR@T*Xv)NapEDjGEg zSXQ+kU9_Fmh>b|;d5rByO+MFtJy4_|-Dv2Bolo;rss)HuTQ%EtU%KkW z@JhdMIh~sM~)XQ{WKwxy#m~|zU+AdS(WB_$d-q=c7IrzIuYiUIsaO@ zI<>hpK!WH*s=p04W*FN85Mni9;nJU_Q@%XgS;kCV-|aFlh0F)dUG!e@sh?lmw}@oh zH=5GpmnYlo+`~#f-R9B<5XqEW&-fisB!`-9ZcUc@2N80PIdbuVz04_kd!|-=7cAu~ z^WjO=215b6pr^msg3^A6*-z|H$*$Y6*ZRU5xa6d;wT&Bf3zZOSM4EDmo>O*JbuC)L z&YD-rU$r%+BPJaJUuwyXiA|M%lY{j8v?uWIj-80kj+OpoH~1xCV$~hMR5RyXq~WG< z^i@NJP;U9G#^uO{d5k|XC1IufEo6gf^8(7NBLx0mgA)Y1qYK4#5 z#3K%dEd82S-wA3!mB0 ze`vhu802;Ox%}70=h|He<;H!Be{}4%JWUJ&9??k@D~i>ra&6>feQ64)LJn7q^0EHm zk@Q0ckStBSPNeJ0!^KHx2*)$I^G9LrWMLEiHq7dD!fLqET$esTcR{|HZ@prS??0~C zrT&0#y9q|KJMPwB%;7>dYLd&6Lb9w;K(pCY`=dVP?du|&BX1Da3B_gXUo%RU3 zp85Ui$n13Ua(WtN1caed4mz5hu>W&T zI=6{nwjM!I&z4i;{2(*}Bt!OrgS*~6yfEmETcLSAdyf5u08|AS&|p&J9h-YBx}DDE zE_o2;9Aa$Wf!U`)|5gw@7(_;;Fz|;<0cXK8uq$82W6Ht??gjig)@d|eGNEh(z5H4; zdYoXbp#NsgW^toQq8(>~8$Ugs0iT~OGps@7x3G~OKO!Hv6s#r{_)}SV$~INH2*qpk z`*H+LUS9C)a&0qxGjmCKIxRixA&`EJeU?YeiBRO<11{|@fo}i0@XI#@-4mVAv9t)- zR8p42!ybVLItV~BQG?zKTf)MO?!~zl*M{50w`JJW^FC1!Mi+uDvQw>R9N2X-vQ{kN z#-#@KO9d6*VV3$082KsdK92QRo@pcZcunM|AlGRBT3;%^GpNNlF&4X-%mB+VEz%hh zrXfT2$MbDVEIaWz(^ATt&6|Qwl_7`TF?|S^LSJQ3WLK3e18wY5Gfhf5ibEnK@44<| zjZi*Z)mHiT4-GfHrPEVkT%Ad7nkO*ep$S~VxWcE*y?lNe!jMvxL7$1dR-H%CU^O

quga>19QF}6IZWY%ZS2?_6e7451gVlx3m>jz=H`nUOApfM9%H%m6U9t*nr(rnc49W7Ks*B|Urn&e zfF}~>B^t!zQB=D`$iRj~_d4oOGT^6L+BqcYKAcbcNI)tXB;8wzzL}%~r03##(lUOMJ-E55!D*ET9KQi~T%$aavX)(S^7u zab4SZy3V}e>btMm$001pvd6A)-Uuxoo;5lU>vRK1=w{7lYEBl#b$Q*4{OzIEyL$jR zJB_5vG@cv=(297Ok4x>~8hqm9qPN3(QSizN6g0r;`P$xW?Lr=Wrs`kq&gehj_fnz$ z^L8t>mkj>PP@=hFwQCBR5U*DDbvl$Zz^xmM<2?Fw6Nd~ef@grkqf6CQD^;uXzQu#NUj!JlX+?1J=mI4W)Oqu6BMY1At< zexv22>O%lU^dtxIoHJrH}ph}4Kadk6?BDm=nGs$;|qEvDL3fWms3htkB zjb-9WJPSXZFssO1DvNu5Dq2WbJ$SO9c5)8ESdnpA*ryRh*ZUF`j;>?QcEfV%kqvww zSL%k()QkiWZ_k!s@=lnn?s>ZLcc6r*Uhtyi#^>1Jke~M{S_jj&qbSYdmS&Z$lzp?j z&*hTQcoZsI4s)lCisCA6w)G~Fm^EGIPR(}8q&qL8dwCc*%pTA>P+Atf%-&E9HJ^%Z zji*k8EN8Ng2~=7Lu7f^O?yHvi<>5cJVGhl{ds;&ABMAL73i7fw4z;B=MU7m-GzFVk zFrCWBe(D~BL^j09`0_iR^js*RIo5okOCfaXe$3x{N8Gv~f6{1q!F5r@r;K2~AQA3j zq%-J9>ccnnZmQ~CY`>u*f3R`F-QlHuLGTHr56u9* zM^eTKir&{nCu07Du<*1xNaf!=3nqaVi0=A#DrHl&ol}^GUSv1eRKaovyzY=x&dlX7 z6i#bmA8HY=-1K74o;A6DVRg^*Z<0dGvfBkcaM|b6cWUa$NM-KQiplDOx!wf>rh}z= zv-uk9Oq52jBh5hIQU?XM*7ua||u zkh&8*mwkfZ!gJIe0`??x`QtxD5MNa;M$|?MwlN{cgdp^h+^0^s9LG0!iaA-V>~7TzuWVKwtTW`AEoF@EIm^J`dtqWI%q;mlBe z0}zqS!BM60&xr`UK>z%Ma?uSw7+D#wRI^xam07zNale~kEQaf675e6w>ZKZFwq3#X z!i<90EQ|4j$bud z#xBF=s`5H5iBwwFh<*4x9($qi%{XvjLA<+v+vXth>)Y$#Np3rJbmk zc=Y~ywgLZuQg?Yei2tW8N06`x#CE?IT{bErb`zzo`_{>R28u|%vVC&mu^`s)=3cz5 z_Jz`4mSJM?tkFuDD|!c1j$4e>kTQ2MHH|yRb+eI@*>6w#h{+E5gsE86ctMfT$n5;w zb??|*9ha+dGfhI)A_A!OQgt77z)`{-OO^IqXJn=+dcnupV(!YB?n4w1$iV#k7QS_n z%okL+-+1+nM*}?7Xey~RS9PhiJz>X3{;yH5^G##V6-QndzMNxnt!p2lnEOcO_qT^m z(b<8JFew}wz#p;CRk=zZd90G;x^}jvF4~Uk&K7xTh#qdo5sh}4N;16+@l{%GK;Yqd zNow1tgafPldq<`0LyVaNe;!KW$)H54-~7Ts=JvchNT++{5d0yS8dyI^B&oUosZE#djV)wpB>AXes|8L!>V6X<{Bpbr+5V8>@L4h#j@OE&d|EZirMC zJ<&Mcy!6gQ2szJU7yosX-+mW@nH;7Qsu@kVF8^@iwP4NN3{&%N=G>Ovr4dFS>d2+i z-7{-W(5B_Zj&<+Dv7xY_eEQLEf>_KgV>z$+m@h4(Nu|T=%Ga6(#J4VNri!gm?Pd9} zU3wzyn@*O)#VJ#vV*ytR)VmorQw~?`Fkycv74mnVd?ipp&*BVk(XAw(`6(&xg+szr zO0nHs%Fmh}YKgz%_W!Vp=1i9j_1f3LRn49|Z(TE^7~9${PE3EF1U}&|*xH>CPZBRg zYP0N{+EYoEjXL2-zY?%IGaSAArDC+M!OgzT(jpi^A)9s`${M4C{$kx|Rz!nlm$bva z-^5rkhm`$%=EN)utBa%;n`%h0AD0>Id(ZZljRr(V--`G?-Xtar6pZsIltYgvOs-Q2 zRROf(uJwfJpwG6xoNBfr0Aj01%i-BYZ@=oa*LJ5z1@1O1NYlVPR*t-RSKNB zfaZI#w3MgW$Ji{~q{MBMAHLP-Htk?Ol#|7Z(NO2ISG#=bb=20#LOXVkap$m}8VwVb zeA3ZW7F+Vf7sUhc62xs30kZUXFTHzR{zse00VjH|ym0oD zW|3WQ+;t_{zA2?g>4&UmdmgLQw1l+m1>-32t;FVY5&RD_<8)im zh!Ha=5%6lAFJhrMO#kk@f<2X%C!bq2oDXBF35;t`@|3bPe0g3`kHMX2ha|mG?qtxV zX`tICt=7yB&hAW%bJ

-aO>6jWE?>;39pUmckGbQLP zQ;B!hpY}0YCNj=u?fs@@b%j10kQQ`R^JenFTh=cMwGKjKFOnlzF*OHe2CCYa6jBy8 zWd4#y(mDHV7=ft3dV!d5E{d4SN?8rA_zWl1jQ&CzfvC_0b{C}BLc0F^(n9cPz|VZE zrsgP;4HGqO_(q3Dhj|wlH~vYAt2joGNt5DO3;u9~6P$mmRA3D2&?nWI7AAUDT1uq& zAv)+g#u3e6FJg#hrDl;J;%||ykd;XWYpo^jaxnd_U3KJ{`<+t1>A`VY+eQv)H+3R58q4K zM^Q8&xnXNE>tFl>fY1BrChugi-;C$*J}thv*Idw>>APgv+=RvI_Nde3816I`gG_t3 zk|3iUENSg3SBHlCUU{pTA-SIQ?p-1{a`Mnlm8AO`uWuARBQ!dc%EixKNtRqwV&d0r z7g_$ z_2QYWP(I)FvQ&2QWbSBz%4m|^r@ZiE))W&(>|?yRW!>uD3ZRw3V{>edz*hPp{$vCE zKi|hRR-YCd-0yaISJaY))!y}9vQ`<2KyufD?MAa5*Lc=R>~)GibdYb*{ECQ*gyp9VYhJh5fb$Q<1eGaIbP=9q1<$Q2$>#?u zGulfAHiZT7f%=USutWD!eCH=C)TEGmTX+*mjIJf3(gU6-782Kf%wkw%Hr(BQMRFpW z5)P9e>CUZF?U!n(YDdc0&fan&?C2iacU;|Ura|7Q?C6U`55Y6;Q#3WR>>kV7VYxaS zV1pJ%L{)^{e!gBxwC~xs)bb{Tw7bNN;sN1?y-LJ)-IG6{h)46#E7SaKm^K-Hu{ny~FPN z|2Q92ThuzX_-Yo#-7&Tb1}e{&4U!vDFSPLMS)V00)kk19_Qh8 zM(7PH6F287yZ7mHVWhIR69(=KGw;3H_OAPV(jbVKstk=4F@dSZ5O3Fd!h$qCDsCPu zYH{l(kBL%?Z8t<$$Ly(G4- zG1{D}v@qm@O2ngyo+lJ+th=NKvWB=Eb0QHy$@*xfr4* zr-n!4$wp>JeQjFvVmxF_Ru2CN*6jQ&l#VBTJhX6A^~f3|gHc>(k6GwNPqz*`0I2ml~*6 z#p?~vJR7aFG~6{}D)}*3^_*V-ADhC+{*`3abA^|h%%;$)70dJJexhG3NOX;3EF{VMiHSV{FTKJ)rv9(hm%iLwVVP(tOu9yXMpN*ceqp z)vMm{rI9_>h>cpy0U$w1;C5K%!CDv`_LD)*w(zSM9;JQqqaagf(lN;cQjZtQSxxbs0Nm!Imo z=S)!2`3o)HLd=#%ezDBo_ep6KE;`#QGT^^O%TO%;^??V5>ps`X43#90II0bh1N&~D z7WXI0+-k*6(Ga{+3T<{li2Z&}E1k|NeRD3y3{q;HeeC<8GL%lF z5Wo2-MJwVJp0Tgfia3f^@QJ;q%3#K8v$!C`szCNT8#+L!A+A)tp~r8)LX70#mm6Rh zKpcp=VU%NXGRoYC*9$k(^r9eB%}^>Gai~9G&lVi3lw!~DZe&8OpB(aMFoA1YBk*0V ziz#O3TlBH>zlm3q zbB5)Qq%X2tNbpT>mM$p#kWj;E0=q-E1`qx|7vzsm`sZNa3mhwF|<;D?iki<|4026uyr=k=O#abTXKUlIs-PGFJ3S&Fs#uZpUg*q1ZZJ1KE|KuK8E^&xul zKZ}+?aWSh3R{p>gDg-PGIXt~q+5WGa7_>*_!EK2iyz*n_2R)BxnNJIS)vt#ekznB2 zwW00K)#HX>tH-;VT>wd9Mx}8;?|+fT0P2I{z{Bg$Yqo*k7p8{(m+PYX{||rgfBXHK zJJeD3QZWbepNcj9^m8IbrzR!=M4kd$_Ty9rB|BVih7mACs2xVl@WTz#Pp1yZ-z_y0 zpQ@YInte+sV8B0)l~oL;qOlZ3O{2dp>L32gj*BSxUS0&ZET8V>)Tbb%FS?7w{k%gI z>t-+}MLm^G~gqB-Bv{$Uoc=(w_9)Gf-T!2phc95}cCXchI?{+>CV5|Lm#|im&3? zbUN=faNu+7+#;@J)@rsLiB235PZ8+D$=HUYHZ+k9EJq{srtqCyZ&RuKgy4IF{3Y_+ zWAcR>Vhk(lCZRe|rDdrJt5Q`3ar8aCD?Y`88A>hN!~(vq^S;l}G)4s3d*^8lq4Z4u zM{1$5^FgIkH@<(x0BzmvV&r!y?+82r)@a7J81wZ1LvsERoRD`^KpVGNW3qHeQHYcG zBe!Kfa#GOq*@@ObwxMkh0p|Zf%n{n(hEL-vRjc*g;L;P%{-iZ6w&Jfm8SrdL&o6@e zxNyiXEp3lX_9@YCWnT}12|2w3SV_X*ZJ2B}{*yRT52DUAuBMsi^|Qs}p1kIfZ*ndQ zV?UQ(f58pEMUQz23=m@iF8SJMyB^G{MOaENFj#NH;C(p~Ur^R~_udzSbq=#d5{>t) z+VVmlJ1LAAQGJ8;n82CZN8kItNZ~c}EZ@*Ww+ajs<%cEOxpOA+!j?S`K;i{Nb&gW` z-K8~KR_%{k7Ea5kPXh~-%h13Tg1!#Se)9X}7b?(%fUUr@DCn%5}5K<+S zLh};*iD9@iTr~6_K{D*xc2&|XRPW=b04hJ~1vCHd0+3)z(XW|4c#-ZURJvY?1=*3# zn@+vu6WTm*Co@^HQ4EU-vOz3ZfSG*3q^R^tvyd^6V}1^`YIx3#j|E>g-~~wIM9#S9 zdVlrjWd1}Gfn2YDatR4%Z?kDRXW=7vZoDNm>U^Bkv7*tX?Xpy~aod1%qvKT+Gy9`v zW?)TVuI{j*`ztWue}RCxl{h%zKc_}nI;2^i3zz0#@8R@fb~kC8nB3+UD7_U7u&!1({H)G zNTmkVXAW(RBWPj5*NNbk_x~dH|KZP})B1f~ghVnh7+avVSLj+5hai^8(eM-lDnbbP zl9j*gKf=3K4u2-8An%yUXPBE}sZjc2lUfl^gvbnt1`AYYD#-$ET7<_GuZeXq+Wc)@ zjHjvvHsyFK@%`DWH_7^R28FA2)9+`h{303fyip>>cU8GS0@*P5W-`z9-4vNY{GR)< zt;=#WQ69UN@%x20QzMRs%p;X9$5T%2Nf%UC;H)I?K9IVZnsyj$p(r+MVyAe`#9F@c zjlC*rT>@~L1)?&&?+!URtrwhOtyJ_!*-<(F)OvqnNQ^A`JnKM70_&T-75NLXHfaI5&I** z2*hIlAxsx@mUDbRp4#>CeO9ba@6X{aT#Lg=xNn64wMAKjqH;`?(%vv;uw^78^=zI~dFdqW@Y z($D?Ds(avI#$w#iKEBmJD0_b_8mf^dNE~v4#x80BqV4W);$x) z9gy;F(gjj*zY!6UP+4rfHb10iO|HB}qhY|eIww1-oB(Y##Qe94=q-+U-~Z#kFEtPUOv`J?)( zlBn5#wZ&JxfURiyz5=e{Asu;Gc#+849k46qSWz6O(pKRICnyGBzu7N}#@Li5a_(EF zGHNKWw7r=(FnqW4%6$TE<{_QMwLu@*@|wwQS0&01_ThfGg#&AM66D{X*q6O??j%A< zwo4FiX_`N#9pZ&cC=hzjl4^g>TlaCN(_eQHTr6Q0 z)fB#Bsd{s;#GXA!sq{Sb5X~O#f2nb6(^eq{d;{aqU;?6SH@&e5o!-L{h{v&D@QEDW z#z1M%-u&sszY~~$8SHOgs6i~!ma_c%_FfCj zlR&xpao)2CsfV%uOz7@POE$?+p6F$#kMyB%pD2F2G#i9!Tvm(Q{|L8}0+Z!haqd6k zT|9Jj(qyR$d|1##SRb!$gm_uDrX4Nd?9FW*lT&1(CA4FGcCEJ?`~aO;Fi6}WfbaSj zYGl4S)JFvR7{ab*5!7c%)(M&=+tet1RdvB!B!ppG-=C)SpsX+mM-+3V=GKK1iTA6v zi(r|O&MpxsCZW1%^RD&o9C#wPw9*9ja*b|~NO;s2G#+`8S2rxREc;XjG!WivMmX$% zJ}wmrz4xR~sc}6~zN8zqaU}kO4K+5;AB%#lWMGlynH(TNrk*~|g+cFd%!1~)m@iXk zL(#$#G--bPxjaRb!slOY^IYFQw9*{F=lKH01=Ji$a5A zI_AA3J}>9Q^x--RZv+3z(EH@B^8||XOw>R5?T1H7)gF;uxl~viB9b*gXj8&!FaG+}Yrr5!L6qXYylvUP&aPun zCPY#HX^gk`^_k7MzRhg?OS9hneUILW$VsAK0tv=b=8uoy>VVqE?mgl)JT9l6OrKN| z2UNZy)GB)dIMKY&!0=EZ#9pR_FDu1+DY@L*4g**c0>rE7`d0aM#$S>`yt)#VI#ef| z&QUin6^x%|8roYi?&W&v8#F2e$2*bu7CgOVq2q=0^5QKHOpPlHK!8E;KV$Z#rz}^k zx;)6{y)pTwrQF-=htZzFENuB`4)N{ikH^UN^PlSL$k(lu#yW4lO^Q1ufl#FOeZ6?* zx*oDGacJh^!fE-TueY@9T%-32)BKw^W&O>MvbF4vqhia3={#m`t$XV3Rl~hfaIfZP zzF4RE31qdTEoD9z`Gqh|<%8&+vTBSY94oRLuKPPZL=vv`DpA5OFGrDf2!9?5MKWJG ze|vY2P$8ZD>T=Dy&kh|sThRPKr}NX>>+2M0_ZcvC&uTGx8MJ;}6Oxhql10ZF6@Jl1 zJ5TAJc#)cy2$L!1)r^b7mGE$d$b`L#G|W|sRzb=$&b>Nn)fB%Mj2mNDb(f;3PTaYx zguF4rQf%*%dOYGWz~upBoM=qcof8KNIDJ;@11(l-mtU$(A6WI0Fvv2Ar^=o`4+DYS)CT6LiDvB`+9F##Ku0^Jpt;-Jy! z^*N~>fWI-ly1u3WmkG5w_t(Jx13`KJ^so5(enVrUkk*5w<(k#GT?&hYPKygAd{dnh zOBHY5q3iS3>&qdnw)Ku*f^n;|7b|I{ux7bd+HZiGEDWsq9tBr>*Nct7k-4#VKU}{I z4GT&(Fx)|qh~j_3|C6MUtO74y|ag{`n{e%kz3v&94^37 zZ+;x3D5@JTxfdk8Sf`TQF_e~;_O?_uI(RPd8z4K!q9ceucDJqvq3J)OLAM!4ZRvrN z$*U8N5xE_FV$EUZQm=mm$mQE7(A%-S{w#&G{Aa)CLbAGr)e*Xpin=x67BLQDYIngx99d9n4|4U*bMtmaCD&pvm!Yq9dA*ZBnX+HAPE5v2 zVSkiMVt@Gk94bX-T~#MT8f4f)NHUa4QX(O2^OSkFiHr{+r@>HYi%LSu7&1?xjG-v=v}2RGjG6ZSt!F#c zKJRUh7)ZwNy%N5<1IB!6jRCa!>dB2A|TE+&a{yWtMic zBlJ1de3*LrzUy3Bhe+F7QtH zt{Tx>=FV5Vww*saO?`HEV*a^T$0kJfM3bkYI5@`y8Yv&f)(pH3NV4KZF117j&QRZW z$C18ihF=c{>^~zK{}JM{@7K=|#*&v8TKWr0I0bvGKA$2l-i_MB>+oSC)ES)}icWwQ z(=@kwUiHEH$MHbI+wJpQ6f4R5JlDSKD7(CuN0FTRV>MOcWT)+`rIriMVWNT&B`xHr zG|(<7zr7UG&fKdeUb7J`t?AXvV1JHOM4E9@t&noPkR46Y*Kewx&)GOv@=X$cxJjz! zpm6a0!D1K3k9`7J5FdVd<70TsWOG4w%Dz_xeaG|PlTL>m}+xc=DhoHe9)oz$t*6fyZX`*ADj+d zmO?mnP5&XLN4Fjg*%_6O;A@(s&h)Ga3R`E{z0GLt(S$9Sk=&gcBLFx_fU7ajuOyql z7wCqu_V3y)E(M0?bL2v!xfrmRS%Dlb=_83*PaZqBD;NKmOgh@}a!{P3DB~J(*OUnD zhf=nWFQja9l`m+)lRqZDtYQ!Fn9?jWpQ*JDSPwpdXbWv1?O$Rb42M>Al#!?*5V>nb z(`_Z8h2NG9*RuK4Lni8I>bqvQkEd9xr-u+21f6Oy{vtMLa#jx*a2~qpaWI8(V4~z6 zU;lDz5_%l5s`ATDftcn`?57ORn}Y)r4kSUf*@dL4dEOsKz{-m9E_~$$I>CGPUHPt1 z&t1p;%FxiRXDUbMA-dhvmUVR6S`cA}ssjbY|`gT$YCT&%g@)_(v&! zg}XP^-w^L2i8VL_Y4Y_=j<8r#1-s=R4DEG1Sp^ft1vMehhHdnTlfZVm$8q5O_cBvTg9UQP;@Se*TDIDX<=CQO z1Fx-q59c8x??*1(t|%E0(%|)?=gZv5Y>)kohgF&jx@Jo=UJ`IRq>G7}7a>OT{=cMp zvvKpxyu}BLp8P=YU%Ucpzhv4o9$Nia<8oedpS4QLA~FGkf&r#xKxa%_WBkd*t(rzQ z>qYI_56=^sk*{`JO>VS88=h2S`sZftscV#P+N=(LZInMd-c#37caP!|H1C7=8ayxK zwVb#6D%tg&SK1cny^bTOJ*|P1s%W^!eX0VjZc<$LTR9Q*oYycQ+5WZ2(l=r0@3*3> zzYA{;m7iVIG|`|Mb|I!HqmRj02_HTsXA05QD6&NM(Hzf+SfdD0)s~=zB`gj3zU0)lYNxcC2`P;q<(s&FE zXn)P#Bgw6i+0gSk*%IDpI-b=i%ZVw0cG!+>w{Qvx|M#XJB{o6 zSx8`gvo?>Z7>FV!YXsA-&r+u3DSasmU4^chTwt5K4eBhIZ8J4*F+84YHDv}(l785# z@gxV3kb!HM1YjT2jW}xSu(r#z`Q^aq*84DAPiZG+aD8o-{$Z~dc3M`0ym>uPiZPcB ze+(j|#x|<9!{{?i@AzdKR{5+&O~W=WE!*=QJ28}@w4}I>PMiK*&yLzgI+u!pke)4q z5Mm6DC&KLITJeTsd;wheGeTo+-Dj{we6eraun|y^Ou{tPDi~T_D3AOg1{geD8cs?> ziDO2W=et~b!htygiJk-!gc^nek9I*r@rm=A?GVFQu&j$D*^Q~U9M4U5jAsG0+O9^; z!euatpW~$ocjHh-!?!*}Lgy(^dD?Qi!j*M-y7`jwCk@z-6!(AMp$7qobi93-43KA- z)jyKP1K!X7XiPHLzXPKrk=#CuU?ug`BGTtezxgq+6f(0PUKHNY<|vJf-037o?r4Jk zz7Uk!!Ekm&GAVIn;fXXMyq0M31SkE7pkhf4!528~`=I?3jG_rYDkC56a=L__UhdNH z^PKpRKGXh=I_CS@8&vPs?Jn7kx{an*t+tg$vvi2ump}MStQuxdW0?toY3#nxu>a~w z32VmulQxkyX5hDM^N@9kVsb17tYqOpy2awMBpt@91-*1{+9@Gtv= zfO(uk#c7S<3WhW|?F_ZguhjTm^J_ceq)k+JxP!dh*`Ru^y%fX|O1W%VPtEixHFr%SL)&( zOUG)_h@l3poQfNLwQ*Awm~hw61^8(PrE2xX*P)~xq1&QXYeCGBSEU^3AYBKfRkW`0-C7zFN{Ql9$yR%?L6zA5 zx&}eY>=UOap8j0vE}Sp9oGy58{R*XC$$cEzT9jq(Y=mp@0N=NdO1#QwBfM4NT|LPB zA$V{F{|6hNLv~2v5*@)y7wXu!I(|cb*?41{nVy~^_qhiUmiVNzl-VE`3OsjJ?h{3W zk6U0MF~EP7*8S%2E0IZmKQ?y!?$7c=|gH4sQU$yy2EP~(~q?Ii$+AyXBWtE-&? za5Und%TB!Ec1262g_c{AFK@PMzDPdyqh$4;in--g*3Kz%F$~@@g8_>M8piT>ZRRIQ zh>4u7U0tLEQ041AlyR|Fr@PjAHQ$R|yf`ixC26+@s}*^s%zR(#(L|6-Wno10_v7xT zbGa_vo}y6$XY$Zc8^;wWU)Q$p-W5>46ytQo%{%$nVE5bSkZ%xO7~{aqF3W;x&4Z9z zQU1ABG)mPhvx^1U8zp8znp9WfCXLN>ChKIn)n2_pPs|wC3;{^^XYZU}`+=e`9mrju z61m=Hf>dm<^1(^}v2Bnh+L| zH~h%2IGa2AkE*0VPd?1o61!)Er2Xy*vJu{P^E?wYVV&l+%C!{`@4!YduQ|-yXqlaN zh%R%!U_QUiu+0`R-kf<2sClK!Aln;&NHJ>c86#S^SMm<%ULC`t;Sckc)b$Hc^YRm_ zD4aljTLz|s%@%ONEgpsHo0sa&SQWGsXAE?{OxNv~JdMU7`oYaV6wD!!IN(c$P|sI8 zPh%}f7}fWQF`}-Sopc@IPnYstCdN~GCH4GmJF@FIEqvD_Ox8CGyq4Xp+A`wj=3sjK z8KB26l;_NxpBlWQ^HE=2U0skFu0wd}EKXvqucaveehM%WLv*h#Fa8?MQ9PmsQP;=# z1-0+Qq%AKhHO_t{Ho7$oWXu+BILoGEb&3Is>Fy*teVTA!dC(2)5fWYEyq$>UYVAz( z(|J5zxyNjplOw%zfjm+S*ag^OXzTkYvU))EbcyBYpqB&CV~fGO%W7f0s#`dnxnX5$ zYJbE)zq*-crr}5DM>uYooYz6W<>oDp%B_A^*avm6jx*7=_nU(^g=)E5n02`_KRA@p zczYWLAq=o;S_RJKP>7zOFlKLhQ?l?a8 zexqd>$t*1dd`nGDO%sEM=PKOaU5#MgC@GJ;uPu~1;~6rl_O^SX-Kt!X3BTFcPLLuLF++ zqzxJ%j4FTKLho*WH;WZBAv6RMxk~yYmW4yIf0K7c-EOqH7MMYhZ$mx(-iC&I7so!H zlgsUmS;hB3+8?J=DPfyZh?JDy9=q-pgG1xgKu>s^TNU#<5rR7L4aZ+fK=wm`t@s!b ze?8OW>=p7|sN1kO%})~^Q`m^Yq{cT^;1Yi4jWRC@5D9Y_>%Jsu@B{a2M^j{sC(mTL zxrXVuwHamcr@z!w+Y|f{u@gNT9dOYaLLjHoa)ChlU7o*i{Jc0Ij8R*h+^;vVYW2Y# z)PhUk?4MMMw!7yb>*O<9;Lb8X;$Nb=lJ5n9L=>y#+D0A{yuZvRe{8m-r367-L6sND zT0+eA%Pj_VZX%vO`43f4rt}$4tPf8h(6slrX>e+_Dp>9&OFi?RfO^7v`#|%i4@xxZ ze3HCbaOd*;XdYLQRVxPrq5O8ZmmCp4bo03Nhb8t4xm;%JkNmDS0hLvF0WZbuV`2Vy zUR>!29bCy0Pco8j_B{H4yHuwJ+4-YG8PooT7l#aB*S`3lAyCgK^cZ{0~+ z=HC6>L=)(B(`=FU*UEAWU-@9}W}b=4Q1a0+$WBxm)@v6HG+Zwu;fwKXoHU296$SSv zThH4hLBOQ@J`*9zyqvVZV0^b8#!E<43PpA~HW70<+*4|XB0wF$A~3dS#jM>VM{Z2z zDNwONuTZx8_z?HcZ=y-iW;AzvS^UhqAoR8_H6Uj~H#FQUa&FU?Ge8_d!+tXGNE z=cqYQZc+K}m7>f_EFbAO&YQ^UR~wUiBKi?k#W8!99)YfRv=uLg(I=HAHxLqsn%Vn( zx0CGP@DPuA|HE!iPdN9uYR^}G>#2ALPGf{q7t<6u+sR}y|5R?W%mwN)y}FVF+u9|N z3Lt^{R}F>Gs;CC?jQ^-;)(PhhE7p12w|)EWCcl0LY5Y2o#Kyvs*!!PS0&qGlQeZAL zHNzvc_lJHrxXJ}-UzVw<%EF2uZce4pm$r&imhfN&L=LW}4Ub+&D_Xu4T}|_Y_rq%F zd9dI-J9td5kxUO!6IGL^s5Bf}4ox`748#;0K!MAFOYL(_JQ%`rZLC!p=}TwXgGTD5 zc9uNylXn&Duum8&=Qy8F;Oy6?k@pp-vhR|SYE>@gl9oz8xN9Y2vw1+{?@l*Xb{Iog@>;RYMpEuM9L(rL(H_Pr#(|JDkUf!0Bp zuZlC!T(({NYbXOjF*F2 zkF_IQd=m~*7%0Lu?{eLPZJrUnB!dQJBIWzgG{oQ3#r&xd+MM!Amn~goDZ}?&+jhLS zU~*jAAV}zw68W^}ufjvko#1nLa-!D6TB`2+yY4*%+V`?VvE9-I`1z#KjTR6qZgZEu z<%^SMnBnf|U$(0}_Nj%jjI`i)cF_v}(Z5xMQ4i(sYlxr>ToULSE#|Ofv4XitRz4nr zzDxVQH~i1!p)lO7$;7&U8PUJ1yJSvJxK;;;o#45YudSVtMSZ5K&h}O`ZRT{AsQG$y zoIfTOKFXA^DFpGF5t#>0NGV{GGj{G00azC(y%*d3IDr~>EgAnDZaYSmXXs-+U9=YT z&trbWjZaMq)MIb_)9M@Q1GYB`5!X~HZ+>>X_5Gz>BFG#qPb0@oMQ|3TR++ExRTDk!fL73ith0D~hhW@s-?Bw#5uJ>aFqL7axr>G#E8vz- zE12$DTB?~1HE8%R+d}@jufXS8Rr2r(J;ZDu9Bwzy*>+mn1Nv^ij6D<5`MN9%*&Uq% z=adLaWciW{%-C%Ny5q>ShrM~{qa+Ph!H|a={rr~ZmH8k~&n9ZJb48wL>GZ!ned^0c zP;|msPAcMI+Z*jMpXcH6-87>m)jjM`{+B!3Fsu%&=G7-A95OFb^T>&2juGMS9xAlCfD=L7aPkf0Ea_ry z9}^x!{-Vn)^th+QX;m2tG{XtoeQ7%h(b`hwAKkgyWfi!*A>YBOW`wLLX*kVk)sAa8 zsTPXOJLdH@Xh9^kBXKQT4p$p`pD7X{&x6 zu94P)pS6*B*lxYB_38K{xu_ckyh$=U3k?mU3vAoO9(qogu{$@v8G}4_*SqhPydp3- z#!(B&bRVMziF-sYzA`{lakGJvTW?FBdIr z`}8kC)0HBGpCzr(AX9;Jov@M*sWbH0gK#dq7%l2BpgX;F`JnR6+K<5%T^u&F=Mh_GQS zpGONKi%Sz3LuE>PGu``E#r{FwjuyP!d6}L)HwSav`cZN-#m&-hI~>|ZQ$~@oFb&mv zyA^LVutLe17bxK^a!Yjmj zp5-*U7Y|8FzdN~X6BBsl{KEKnMcQ$KY%Y|h0m;aIC+ACw+9ulTdIZ13`$-isPY*k)zS{0x&yf zROT?);vm--X#i|z7{X^gXSpzSX%UU!UA^!ZiKTva$wO^5JdK}4=upp#0y(-*pi|55 zs+i9-GnVLc~ z>)oP0~cr2hB8LaolNzDWvo*yWh5>*05aj+3?AQp5`hW%L`$chrWOR2qYwE0Tl{9AB}c4 z9;G>@deoac;;VK-368#GxkG(Y_jAGI@EeB7v4IVQ->^oxyGPx682Jq7#Zm>RQd9qN zoxhhk^Zn!G@N<{`a5|T>EuJ0!_QhWxO#WX!h?wSjjP{wDwLQ->DH+Fi* zx(|w{F*Wy|c6*v+H6-8%&+59YzJycW`9z_dPb5mggu!_04`3o^0L6I*f z;S@fc*si>oy#)#n{!n?Br$Kf5WL%^_7}Hp!`)IIC+2Z8)Yy_3OUH#|DgkY`O`{W#z z9EWRnS^GzTG?>M>C+1^ZPtP^~+UJ>nQiE3nK|_X&>oX>}HUCyvKt>A-O#7~gh1*g6 z4OP;t25bxgil^9K?pp;o6oO>sQy-Gn{pCN%&P`K zZM`6c7LviRoV&d82JfTmL;?N+>N!^@{ij)sEMZd%3xOS6LRAP$U*8JyU-k+{{!yrqGHE#HPQ~_($;o;Bg zQd$D6KVwy<1%yws+|lKG%JyPEu=Tdz*5TP1m~XwU+;{TBa>F=fbaeDlrepoXp7%?Z zatX3@$n5(i-fqgnsYr@TCFM_5gZ2cnK)>=Hr(Ji5lYaHM`O3${NyfqMy$86L^5~2H zK!6|R$9bEBqGMAX-Fa9HHk&SkDazM#I-o%ko88eW=38sh+I!^kgAyiE_CqoA+tBL& zV&`!F>~3u8owT0E41~pfw>{UCky;UDMaEA{K?ks^7MouFOEcR6AnH8%Rbgj2NyLM4 zROfq=Vb!E#3Eu%;k4t_AZ%Y`6_@(DrVG*(_cm<-Kw*-Fd|ljvQOdb(qf$o7-783l zo#%!p{hkdS8}Uo=LQje+G}hhv;3IUimW8*(;0ZE#O~<*ur{=9<#p$o7mZG3N*g;q2 zmQ{35I24z6PnP*Jx(gd_9Zl$}zgb(lf$jk#VOa&+x=(8KaUZ+Ax{-r-yuS$aau9n$U% z)|0c(aWr3>vuqS8BgMTd9}#r%>QHDdAy9~J#?euBzNPj^kx-7%O{9ceX0DgOMDS&% zOFn>|-SEM$b~*5QK{Ns}8F^>F+Uf`!gYv6`crnv6!oN3;_Qy(#UgbG(VnYTSCb}KC zN5(c0qGcELCf^xXo}}41Y#ULt9UmX0oo=>!HEP_q^S^LOChBPKbQz@xw`bHR+zc%K?o!0e0RG&EW8Z z;8KccsN3aYUkVinoz^Nh=gjSxeRwD@C8f^2^(h1Lm{sVqn%lRh`jh271l^$UV-p(F zxV*2+4O8hUu1rd~j|TYyifLG7w#0f5yxm)2VUhg;E<*z4ThwIQn0#nLL;i79hqF>x zx&FD?FvXxw4KwXQic4{kd>yY$9mSbaFx{4F(BU-Jl%;58=#W!C=Ik8aqaqgBh zXzN05j_Pgf&95C5kWx$2cBahCw&h$xS`3%$vS(&r3%eEVTcdI1WlyoBOG`mt(|F0+ z`UeM^J23~&@eX$pEeuP)wXO|=sRbhI9vDnq-1)PXKsliHxqfNERiLW9XdOIX*p6#U zPCMqg;FMNt%*=>|GEJoA`{(7F#tgRo&~9)%Y5;uHP6lgUdp0(cTQ-F^NCTvvQ_R|T zx+#TRmBz~-3UBgZ>!g|>OM4n?K@%2CN3 zGVX(Tfp85g5g$AeAM&z9g3#pFs-IQP?yQZ^Ld^FTc_Pfy;-w2O)MMW+ZQJ!@WB0Wu zP96F$(!LU{KQ2y`iR#*LN~A%Y+J<|_EDiI_QzOt)p?lOU0B=rJ?{u~96P)ZP==Q6W z2dkK~Nfu?Fl3X^))OH!1Eb4w7-Z)R`F4^Er$(phps2mvVj_$V9M}}q)$4vb>FMZxw zOjAc}jo6rC?>RlU;i;_3mIoIX%Z9V;m`CokeC_t!l$KMOkRYOOU@X@yxi4pYvcPja z6Rkx zjY_AS6VYcQV>X2w#5+&N=ERkli{2oXFh$knHx3Z!3I!Oq%VNjAe%&%zaAw9Ao)7Hrpp-%twElDTLL;5b}~7_Y?*HX03at zaJyny7Eb!eO)^RKMxVV~I;6+b#KYz8P|l>K0oYksdN!VdS`4mh+gpJR?&quUxq(`Y z+X_*jtcRO=9=x+l>W@|}F(Ci=z;KFf{lewb-cvGU#c~+JT1w(Sce%B>c|Y_8O$u!4 zs7PKX>pI#0!CSf}gH7EXKm0Pmt#mR@IrQ21{l&42?U*R<>!ml=#hao{EkH>=dePf9 zXy25WJae&t=Yy={ARmj9hf5%e8_KR|P1B%?##nAaPx98IA#fhG7Z4?K-dH7)@k$)R zqmY?ae?PHb=SWGYfk}C@<;3h=cc=0jeZ68k!?VBl5N$hlYUkRnmThtnA1`!U3(E)y zyd!Ne+Eoj&o^U9;Op39w(q0g<>4Q|o`#-LDRf1g+ze#Jv{t7ClE$zenOFYyOp2r?3 z=XjU>>5Gg)3pbh(moTgt&Z*{Rt#lXDe6jUe-FdbPgUnl(mjn5*2GH8&QaK653;HqV zk{|1ACX{=LiaL*8*2%O89PBd`E9a6Y$*F7-H#r62lbGSob5k-;aC!Bm#xB2(2FCjA z0h@O4hA}9sDKav%-*q%)$7GciauC6{R zXB{M5D?)UCUM|$nG60neo}1rhGf;k%wkQ%M#q)Ua+&gJ}X~eCqZl(KOcT|wzBT?+@NvEW0_+0t?ayr0BvJWi$(|OVi`6(*ebZ;Yq#_0^94KfqQL_#D*D2kIyE|`wqoV+}pa}nGg^MpbAq+_E* zcjMCZJel@F7}eRo%$^;{z+m}4VrzbdQwmXRL`X?S3EsyBLh-k`H(Wj9mi0o*V(-YS zE6C5{IxUwEn!ThfXN5N;v3x*bFOq66)XG7lIm$Mon!`I1#8@_{OX8)NzVvXpn7K4W zG!!a3^r2F0H9S%PQ|Zt&c$=6(iLuxp#|<+q&pkS#jyAi%nD>MJ`I4;?NTfn)H?GYv zZQo|bAUmTg6Hhnm5%L<#8Eu#dW4cL?R)w$2*q(|!(7X8#ld=s<>7XzMosHJqR&|jg zt<|@Ky1F2jWPTcASf5UGarip}BbVXv$h+G=S?Zx&SLHcEvd;VZN7L;?o2EaeQuLMkZ*M7Ss_`p&6-F&|HqDot?B zd5yf@t8Ts%)dajWze;N|_JNkD*1B*_Hx!h3MMg)zkJOX!#rQ&JAmY564;R*jsvr>>nEzJ|`Q98kK>1c7J~?-Ql82ql6W{Zv2^*`Z+J+ekVj*Uv zI$R#2LihiwZsThwLfk2oCj4Brb`?VDe7K?`dY2GqQ!|K`S3*fi3jrtXYN!`WeN1kB zXX|MF08|=RH$JPV0JK#+IxjqmXO}aewTcVHvBd@A2$n2=K!3kB*EKCqZZn63bw^6N*yJE- za1~8T?!&5f!>VK*t!WY^_y{NTuinx4l_FR`wl+R|qUWu4oA!o{=@ zqScizzY_5@hyE_IR+;|}*rrUO(?9*3pCrtGadar}ZoBsfwRrL8oXKvS$MMzFgj*5nc2JbLTtv|KUIU_1Ib^s*$ z?pZ+6sfm*DkKy)1kv-r2YKMY^vuOJzAlUd80$E2nKyT#DzjG&LOI$cJa?6mQqeM~X zE;7|_S(kzH+Td$$V_n|o&&XN>TqCtNYnMHd@dY-3Zox+I7N5--Qs`CE*4Iry<->GE zcqC2i1R{H2akiff46HNBJ+=s;f+;P;(4HMh$2z|M#Nl3H@45vPysXiiRl$wjDIQSG zSsN)~V+Nz9#9*#WIx%zE54@JyBZ}>w9>?_XZ8~9=3?Ya|;d8Yq@`gfE!3Af?lXH~Z6&ZrN?Oc85pvLp6XQ%EQt2erQ3}!Cs6kfk7 zV(qS-Id6M zVGIj~>E?Un_4Y~yE{f;P&Xr)5CR#sV^GSZ(V{DvRlqr41Zp{E$&c*XCJz+-a)n9ds z1Prke?U5hoYHYlJGTc8|kqSaW0=7SfUk%B8ub|e2{@2>DYJ-v-uKVQeTV3867v;<4R<~;N>(Q+w9ea= zb)ZA|pajdL49nRZ8SwQXu4-+uvCC#DDXH2**Qq_3ZAX`OU(I`bJ`Ww; z+}zxJk#o6YcFwi`wD}c9crB&7;2|>!qDyi_eUrap86aW%3z#7%5qR#Gc#=ftqxwn& z5YN%*lV8_G^=s}LU6Le@!el!Y;;Z>Nw5J=oKH{Sv_M&-VgIpU3KYX)Iey=zW&3ar1 zjZ(J@MQw41LenpXp>4=u#>=F}!Opx#EqqelUcllt!X6LvGWgHVI3Tg8laHnRP@^S4 z@!tZMVLRO+xO!5C3rh3Ng26RRmB^`L*U|Yu5hAR|cmg`C-Gvpe!XTyqvMn_A#1J!> z?n>Er@&^V6?pUr(d02=iofRcTJ#~W;*P@zlJ;ctQL02}9ad^|GKuh+#LK*?6L#Z$L z)&Cd)dq5~TAhk4ok3PjR^aTB}?>Ap_x%kW(2``HIjKNUFLZjBDE+K?Sc?ctPm_IQB zYOWFOX!Xv06k8-NJ?cm)Ca*ebJ9HPlvs|F>l|ug1_Xw)+CAf(Ae^U4c`Bqs=SDG4% za5!ztl6-Q`)P;$j$CQ@&hVhb_rLLTm3TK1m<*SINpZ~94Um-z++LnLWL*u+%vyMTr zhilp{=}}8^z);)zob2pvLX}6{fK$}u=5|e5g^If4e=1Zo4TOTI-};n+F!IJ!`x;%n z!?iD$1)yivKizis|E~YTaCw`LIcu`^E@>SIiU(qm%WObiMDOI}^oOg|;ltM__8LIR z?2f5r({5p5VdYCYRY$2F3Zv_pkFfxB11$^M}{`M!6dIzb~NEA-TS*RAclXU1!Mq(cLLxBJ{ zx}7u(We!REliW9yk@6Y%c08rVA*|?I>3@3@bm+OB`T)tCGX8=NCD?EU40Un=QqXIj z9peQ3~Uh+xhY1?t!ikA9{;IuS0E5 zjL@@x44eaGqd#MNKXTQei}kdoCNv!H(EiK`w;qAFl_Y1x)qWgZM_bGqpXanOq~~a` zwa#mwrW6r>vGJ3}9r%E-b@c?Ai!`9Ufix!l|8xTZg8Wwm@*i#>WG{IPt^P!S163EL zXb|9L22eO$CNJ_{`qfG_rJJr^{iugwSEU*Z=H2wij@v6iK@>nD{|!O<)n4mOPs(34 zm~-^1&<@BmlwHma0XRq#E@%O2mvq$5Suon?wdOX%Qdv$5C{Qm{ z7U`(%76@M4_`{7}z;C7L$O&X&}9eMLPj z8&LO?J>f_hsl~wke7D<3%EdLRa`R?w2xYklfqI{gNnm!Fv+k50eAF~yY2hp6PkZLt z4;G?NB29@@a4DUl9&bS7W^u8gSoXBKh9d*P#>6ziZ|!Xluc&WQZ1fw-^)mzI&ur%0 z$+A<#uo#Py5PcTp-z?a}%a777R0Q*iNWRbBJp+Y(3zy)Pxt|DQB%Hr)TQTUzqWX=) z=!6A}WXGzurLMxDqr)%cn3AU-wjEI+3b@$+Uminv{RG~nfB%Q%lSm>xBVyNUv!0%n6q`KgBuRSw9a zUYZYipSXbMX-MWLyPup3OQq(IKJi~2mHkpY71Yll8}^oQ^!l1lBFQSKn^M zLoX)5E|}qN-8MD^`rRHtIbRL5aB6G}8(ToTzVdUByoQ^b*uQuhOq+{h^7^;sO%yM_ z_1N%m%7*~6OaU)u)JLe()|=;{SFV4vV4R{~?K}@jxFh?H&h8eWP@5CgsUJxfVRL+9PXP z%9`=1&O&|svF%4gTfG3(b-UG|`N>z<)br59K2t-lx1aBa&en1gw+!N3)fX&}$?3%3 zDTLNAF{{SC6Yhgh-=}O6!Kf2Jg~q#?52B>FKh=uD3>sJ**6Zu$k;KZ)SNW2{Vws0n z*k&2nRgOPnI#A$y%J7O+Sw%hPn45o)KAoxdi&hqEEqZoOpZKnvB;jSh$fC0PCz4?! zNV*)-Q!9NtBnOb_{&>H9Wt5XmOBJ04jzad844UGGEjTRr z+v5{K*vf)YvnidOiY~1J!gpdc9eK)0meHQgdKX-)@9*0uIpzJfEz`306s!JT_tEr# zod-w{wywzV)!)g`h;Qu{H5PiAlJW=k-+JyPHM4C*FoM9c?2ZE)K5oO)I0wWTZZVS= zkcFm;$6=6i2+Rtu3OlG#LuOr-)l&0BecfSHxyJ^r?x4xZtKraKN~zV5-0GK%IIje` zwf8sxso#1z5J*o*N)Trrf?nRmw@ZZ0c6N3KbWoXdAM&Wmj*gjk5nlP?g%fRj&U94x z&>C=OHBHp3Dgnix(I(Ry>0H*Lkx9Wn!+*cwuV4|-4D1EU2+Op9=`l$5;Gs0x-9;dn zOZuYAEB+!F1d4{!5^vrJr1>y^DttIDH*p%_^0B4Id0CorE!Y4!L{7|r&c#WerPL`d z*yj)JcLiNdYPujl^JYwO;l1y|<|Dn{3+sJ~G}ls{9L<||Ptbg}DjHocqB~7bmqT5z z2)f?e+fpmKZV1VKNV`ZW=V_FJgjwD|q)q<~MocfV+w&?fnOD*usdN3(bE{Un z?(lC}%f4zA%PZxR$1kA@{_|b0-MzN~jB@gUb1b9kS4+V-6n?+S^`}{JciA5maD6>* zqYIk1R55&Xtyw33&55CO2C7?BDS2)?&6pR3;&ljs()kCmF7#%G;M**kn(3Zd`K~?@ zub~loZ0fx@9vawG+}#TT?BTO88oYW?p5of&^K`m(9aRRVyqJwJ_Vg$I*%ggGSdZHu z1=n_N?Ok#tdtjje51QfmHL)IjnyCb+lmyNCw%p#oL$%)X!2 z0_;XEwTQ+rfM-{4HY&P47|JT6dWw+{?Jm#auT!rk{X)AgzbvM*(&7a<+Zt=G2~co;Z{GJvziK?xh!cmyK9RwS`PA(&K3?D z#p~9u({}>%g`j10StGqR@COMFEQwD%c<`WA2H1m;qYguNHt<|m@R}>=aV1T_L&bv` zs)0^yv({I}@kg7!BBR{T->6Zak@@Do4Bz=RRM%c0P6`7evFn~$APZo}DD;;80htBa;zl8A92k+VyBBXyBV4X+D0Y6Ups}?o z9zCq`v*=-2`6XS2Jl1STv1zcZ7SL$&H9bF{^dqGB@Iso*voQOWnJAkP*r`{qfVv%qu>6T^-k}@cu5!P7PlznI z`=f!%m+zo2=U^Z%>j8A5?)HRZdW!X$C*j}i(4KZXV<=%_HC+-pn{GF@au2eC8Qp1| zcQt-gE63~_5?al^y?3d;_o?4uNHe)Sf@_e(K+sOb9-JCP8YX5!ih(1k8a;6kYz7$Q z&+EcYQ%0;0$tbOeAn4d8TbSJb^Oh;3qqp_lgZMf6IFUE=kI8H&t$^oadwhG2G9PwK z1lyfuLqM^xeI9A2E2v|Y$C)5uAcHmg@bq|=OM8wb$1*UNY;R2SMyL8a zc^g^NN4hP33k5A>`zN8m3{|A}>7^?$9kc#A+==z&=Hl5dJ)SOLN|@NiPGW!&#me43 zbz!&X=QHsiDbOXKoyABkwrP>WjM7eZjzJ?m{x{+>sq25Z{R|&Ai#VyNt-nt%+ZNb* z-Ogm&cMZ>@7s7Mqv&n;#AHCu~B4cuhx)*^8=*5(P*#6gLpg)P;hLhH-I9JT zuF%!+l4>6aPmK!z8|2G#5y+wA=xag=0BxCvlGYy$Bty4sc8Wd~qU6n9HNHUeI5(nc z$b;MWKBo4SSH&sl5{Kbj`M|6LCFYMy`UYO}<~k)_MR&Pnv^L8xeY@0gg7keORbrJg zT4A(Y|L|rH?9W3^#jbG5)zioAz$7rB1Q_$5)4cZCw4{b#8)>fo5Ti)S?F$OMdGqGs zs8d~{E8?#_c6oaM&5blbKjtbCYe*I0IQ{m6*zR=G0Nd7ArHtWH4&7LeIA9a35v}Cv ztAF~j5ilisH}2i^MgnP7272u%c=@&-Akk)b-di^h;yOKl*};)Lfxm)?{2)GEH{2 zRdKWIG@>TzA_(AU+@5J?N(z+k12D`>j4?8$k@{^xRO+b$vef)s)olepfpa}bkKV!; zVDS+aodA9jv^|ks&Lejd*O5blORp7St{Z$w=V~uMZ4Bxd8Wx-EKWRwabm#Ue6(rY| zr!mK>Y5#;ODOhgd#bGv7%j8VIgNHRbuz_f&<lc29!jX{e$u+vXh^8WMN zpw70?bJ5vBm}E$vBxIP^?qu$SdBlD-fX57r*ZXw8SP^N`CU$=swI3pns(te7uhjUT zx6NvujRXL*5aAL=U#7>xPy)|B<{`(iKWbFnB@k2ynd0@Y*Zu-FGqW}fj|)n30D>4Zzo{-HdTG;D)}$dyh#2CRDBtRIkBS4 z+vU3&Drx~`0XyjQgOVS04qh7Dh`T-6j~(H1xK9m>^ljKsN0Y|hbr2QCMbD=^E9zR2*Q{s=IZxBd)6m<`O-bdYzIU#6m`>%m(FvS9f*|LOg zFF5sii8?|_)H&LOJh;LO~nC(H32@DC|-S-eVZRYJ8I;a)YqrG&;LupLH8AbGOpGID= zfK1W;s}cn+;w1Eu#1|D6H7G8i^k~w+*Y);Taxm*^p6&K*u76wcM0bHF%I_v|=qDDbCN#B1an94vk0tL>8KhQ=>FCwU&i~F=M zafPYm>0UKxZvvPHicSB$ZVmKxeA(^EW%v*#BBG_wZ?`nj)7-EO6xmmMO<3)wE~9&0 zA_D=ej^YHyoC5M_w-Et!57)Hn>2cr5`co7Dm*{7lzbZU^xgyUM{1u1ZROL*=Pb*<2 z@2!7q!;}Cce1`Lw?mVFPvsaGA1@|2oSvd_IUe9(KSty)FRT%rwo;qsr68|d&Dl~CG z17C^@a-jF`Z($x1{8swqatpP}id%;5%AZzd1^-#0YPoTkWoG3W8?C!RoCFT-JtNI2 zBQqg#nVqM=>f|h~V;<^jy$Z9FKmoRe$9g-{zWNLl?2=N|Wmj}71fSkVR-y92h+J8WP{#GG$Cy3$u&xl+ik6Ox)biI3zFwzlL zG_k&%*cI9$9^mm)J-2Oiz2?eORMRr*$hJc(Ii-8O*QlM?CAD%GdD7>;(y#29dZPL0 z#q4^Z@v9|F4ZEUQzVK*I(~kK~L{Ci`FZAC25UaE7jQ5HoE`3dI~Tr z8#S*Eo&4-HdJ~JDBYxc}%byy|XVc2Hx4iPyik6~S2lXJ$@_Jq~{Tf1w(^-)viO)Bi zR+djuS(e(X?fAJz6xO3a?*#YR6;QY0ocOe(kRvPSHVyg{So!UaqcqygTVXG%&f?au zp|&@M@R{ z%uL6mfc}40#!i?51R3lrFxVFTfgOw?FlrP(tf;VN3-u>5~&m=YbaSllqD3UB(kK) z(nLa*M3%_^3^V1{ec$`u``+$*@BQD;$L-E@p7We@p7Yzjzwi0YMCfX(Z=~B!M?pcc zQBy-jkAi|S4E)DRyB4&dy3w16S(h;wRN;}#MrL%5k-iK2_mEfMMMllq`AdV;>-U?3Lz2V zCM*5X_81q^fcvpNj!sT!Zc#M}Ap{tT$I#Km7VG5>K583)e?$dccmsn++po0l*V8|U_0Vy3!(napklM~lx(J_@K6r0e%t{}7EY{u$Lu?gOm+3 zz0zRsMxKT=E3rY}(TB8pa-)Z%EyjK2QBo5gi*>>~y8g7$2J7O2u^|bV)Di9GMwIHZ z5|Nthuui0RBQ>}Jz4%EFVuQX78f^0F=ZLL_j<$G*l~$yv)XGZ`+chwb_73EkMI=eD z=Zq$I1|lK~+T8&Slx*es<)+mVT-inPUb@ov~7U$!5pJ}}K?)3NubO=_z8zUzYwaOeu=^g(>l9H+u~JH%uv}3>F961bK7*y>Ljqmv#J?dVXgL zVn0y-i24bP#Le3XC@WDtmoJQo7eW%`OBJF3zuZ(Iito#nF2>CfXdA|j)c7xyd1aqL zA8=ok^g^!azq^8y?duOz@NcL5D=4`5-%Y_uDBM073cBeG*C=}t^Y=((L{f z$>K3b0a{-TUsnLDGS&%8jPWFR3o=}%Z<^3fj`qZq&$dS|kKaGDBA(64G zg!r9<1Z48^kpLcmL5vTUNC7LkuHu!xw67wYeilZqB3nT0fw zyjocV5v2Y&j43KXiVj~almy^b2~3*gb5;wC9QVj>;}6A{WTpCd0{bVTeT`*gxA-&u znPi@1>5~B{S*$-GqDhmi?O#p#niUSGy7v96?w=0HjwH^Qtd?Bat8v&laE5NAcm0J)Bs@w_#R>?UJ1RJzx{cq z=y%3I+I_My`~d+#^qVPvv;F;%0pNSOoS51G=Ord44fMys1}$Q1X^kdB%ioo~v;ha8 z#KX)#JAWyPAbD1@bC>)+89I@G6Xn`!Q;J2<#A{aJeKIy zzZOhkjymFr^(f25Dd4j)_)IEC0astEQHU4B-6ZDo|Eh=uaC)ShU!Nn^yZrRQRm1{m zv~TOVK*~#kFkjh&?;y-dKnE)VdJZZ#mz8}b;;yv)o|P~Eam^MHIsed8De{}HW(=0M z;HRbz>ia;Q#J94MKbETzktV4+$vnk=#yp8TN%UW`_$uOT(`*t(7hO&U99r30a|jkK^7|-u36W zQF7aVY)$_OM@|$A@De{$0ZFc>B`X=3Y$I#W@1GHf04Xi2#J`&IuaFUleorF*BqR9$ zjTbXRvzXuk7I^0N9$#02Lx`XFOOko~9{%z_c7#MH z_d{U?`Az>_a3h}_5lfSP=|pHn;eITN5EcKaEsBYexQta{h#cKkqlo|Mi4U10`U`;k zUtx<<|1026t|C}%p=4eDLoM{zK$Ra$tBZYO737n!U-lp?P-^wRKLe{oza3wKgn545 z_Zfh(ak97v_@yl1XhzYDM+TG#HSCYt;n_sxk zRmm86SaKOBo|wZE$67wIcRmWf$mr@IgmD5Hn;Ws*Q^*Nx?`UIbkH%x%g&bX$-L0D? zDcb*F=ZhdYTQby@B2Bx>`4YKCBJNo2e8Kk`Nx3>1hX0`y8hJ^pgiDI&s~qzGm$F<@ zX_CxHG88BC)vIJkCenT)!*9+x{#XfqPlA$|f`23^D*iK8_~(-#DL}2VK{wm)GL)ZI zssCIZ`zpuzN5IYRzM25e$ClmODr*rXz1nJPA;-)AjlUzUan)Xbx6>e&pk0XH4gW<{ z?}q*3>$=1w#e@L7T;6H2pZXb~j|AVK{65%_e8vA{>&a=xzuWp>!54mI2Z5ZHFO0u3 z^~)st&wp`rWmo~VlHUU`uQCQQ-M88p$Zh{|=KE`K^>N6jUQFD+yU4 zegFlCBn3y3u6{S=Z>9iOaQP3ea~AuK-y-eU-v!-^ea~*%I34+?(D_TDv#1nlhkn75 ziRwrM_heK0LqQ;!VqN81-Q4m2Nb!pl#easECi~vi{`h|@#oxF1^lOCt`fCcr82ROA z7yiY`*?$rtNx1HB7$Hg4P6}5N);}OZk{t|bN^waNO+cRVuN5IB$c2Z0n+Qn) zFspp)iiNDouYUz@{TJ5tx3eTM@KaeoNm9Q3=KAk%N#fstwZG(-<;l6+Ds^+iplvN} z(Rj2a_fA*SFaWZd1c9UctVHGYTf9GIzL>G}HXToHE`Xi9p zGJXFqer$|fz5Mk@-Bu@Y%Xi5?I=6Cz^Z@aP{8oOl!g#PWf`WpbLQ@50aMWTn$@k)X~aHkphdwX1#t8qrY!oR6KRtg0r);iFYjR@@^_7 zE5;I1dgU@`h}#LPS59HVA;&8|Y%fXL;~Sr-3SGZhAmv^deQR^GuC*^rx*sPoxhx)e z$`Jy>W7uGLXejl`6Yb%Vk@R%+m=+eVmkhGG`0>gax&~?UqVvb3j?h6?|YecQ?B2b|oI+${DWAYRE-T6!qIpJm&DU5ZR^VHKBFr*3A9)LU&%> z27~xPxVVY;`#JiekrOUgoV!XQ9CvH+58$|0)+0@Is4l3nzs{kj@R6yucXMwcX8maA zO@17IP9p2+5NY-Foy#w%R9%Lw=Vf;^=ybWlBI~JZXlU3v#I41T!HKj416@+|q!|M2D#A~uPL;8Thjfeu;n@4wD8oYn-28#rog$5hlmO&_Qxt3%P;8AQPF=CuAE{VeR=zgk$8zqH=qAt zRImQi?ruTo+M&o0Ci*A*z3w97;sz(04nSy`I4N*Ep~4p)!@_nEnp;|0X3wq(Vp|_4 z9@voG_xy$pr;fe7{cH1%g@C#32HVgo>9k4b;cHrRtQ@YaQ-t%4_NcvPhbH=46j)L2 zPZa0<$ebAx5<=MX{HZ$KMDf!?OoNIzM^?5G9O!;sjLf-OM_(VG(Cj`c%4G2Pv5%*u zyV#+;0t3}e5Btpn#McKHOS-&7IwhgB_g$r8Pp5v;WKD%bbeuk*=M6S};D)F1rdre) z|CSuh*P%gCC!=;DWu8}fi*Z8sztMQJ8*FN_zlbw)EeG`hk74!5x{sq>Hd(>IY=Di0 zN`!6bJKe?)aKkH7UB_hqXbF&|IM%M&VF zm~8M!JTA_zdjY5o;x0Q~b}^D^T5;-9h)+Cf&ujLPh$zn4s9HmH^{`p5#zcu)LiPED zlL0ZZgVpO_R-`O81$@@u@L7Oo{OAMOw}dF+Ooh+yW2OcbmJ;SaeTt~Fd3-@+suAn& zZj_+f6|=w&Bl^}De>AXCY60v}zbxiXf6|^M*6!hiscl&Ub!Mzi-d0W@TTXMH4mmQj zG>Q#KAIU4%c1o_CerBrQ=sopRy{>EK<9M$Q*0-xmua%3Ewx62_T9L{vW>t|21~@CU(JfbwJ#+nMrZS}}HOtkL0o6?UDVBSC8&4o-$2i138Z$#A56GJ+Nt_?-nA`2+s^v0$_Gyp|hG|i2 z&{##a7Tt7tPqEjyh1glKvv)_fM{O2tN!+`aFTS*4GFT=b1yrpSs7_|Yyz%9OxL8^L zennQj{^}1ia=n%xJ=zZ%O0eEH%y79JKi_ix&Q4FUS5%Z|K>uBi^QMP*3G7@HJh%N?ou{?twbYm~Dp2^Iv);IjyE^#ACti2-Z*_W%JbRjbApPx!-AvF!CJS%=PI$KyExA79uH1wmL5 zoZ`o$QIzL^O=h2!-L%8T96lWMREuBuc0Z%f*zLhu=@W06yBP%(bY#LG=pU^Z*~i)` zX2Y?UGN_|zP`pbCs@Bd9D@JD9a}ZvpicR;Q*)jMyL0Ly(u~_6xrcSc*322xWHzy$A3nI6gs*&KE~dV0?u?5D-){DA#%6jP;>3Un(|q|cS@b5H57y?Ri5 zND}Qpmsfp zoS$n7&>r`0@_l>RcZ}DGdR%Y&9v|^s^YRi`<3frJboX`Fn#1N%&10>SJ(0*;GF&O$ zhhsKb1T0QZ%;fc_M6x-*S%5-17zIctbF9tFlo2rBQly2#hYPs?}=Gnu1uc=3o@p#wcTx`!A(4GlB=6~sN)}} zeOZo|HRVAJ=d0G%W30hVA`3%00cpE0A61g;`E+-^a7KQ>;>i81I0Lz@Ombra+=(ar zbvd2F4x-@jyB)cSy)2DQSF9QOyflh*CwgaDS{CMY{i$*unrmu$qIH|6jc&H4vKe$$ z6?zb3*$WMR5uU9zTaR(Ph18Vm=1{^Qf?67(5|F8&`z+k76^2nyfFd5h*eK5Ebei)VBJ*V+$#L(lP0ET%BJXgSl=axgP6 zTIFr?Qv?nrTRk8U*>`ut4;Ug!^>b_(6PyGi>j9>lP1p^tmLPmOXx zwrby8mcky5-LMSTz3e#w zN!z0yxo_f8h0mtq+yawjJop4nOHLljHBpuIe3z1!mv_P;J9&C~`hb^L>CrxTEk|iU zv|Squ6TVe7x_lts)S;)syBI&Dm+qO4D59C1@3_v)(hUHQm~z1b6bHT%7bEE(s^m>phq>1!7&ovs}|G>{h+_y(!@V`y`moF?05*z|%Hk zU*0Y4RMI@T(&^)a`RNf=HHo`tsu}`(eSO3HF5k>FwDddIGkagE zg+IR{PJ+MXydns2RJQcjcn-pERUQ^+UxRW{jy8>{E{=m#_Al-4+eqa*Gse86FU4Hn zJ5c4->*vLR>ziadHi43H>!g6*$$G7rna$6mq zxV7~8)6mG)p1nJdpCXa*P%1HF?1YWuxuj|xJ)w};A&t-G*Y?&G@`$`$_ar&@K& zu}lIEFvNf*`}9SCFtJNCj!9Q*Uia066gxcSlyU7-jD=r2T+F+4=$y{M&NaB~$lZGm zhk+izN${J4*fw>(YN0OuSq+o^=QWyD>x>v6m+AT{$8HVpt8P2=V5l{#^^V`CiZyd> z`a10{HE=?ovzPec3(PpgVGg?Wrvk6m+?%O?6=k`=xd@z}3w*-1G2A|Vgugb`U%s@*TA$GO$%Q*SWI8XD4$c^eM21?nU)vuM zGCl};9vrQ4*a9GO3ftD*R(s*c6gW2G5Ir8cDe7QMR_S!U%nPtE*yUUrT=w1=RapW6 z&&|Tc>lO%GsvP9bwZGvie~|*a{D=72OaQ( z!XSow7^dSKGp4 z*@3!C0RkfxK9T>72l38R&zEiug5ua7Ig>K{)tp^+*R~L1*KJ{hs8JAL7w(xQ=E%Ms z$vopc_j#sDnC4Z)?#n529|p^vtTW3%AaLctS%G26GoO=HPsiP|5FI%k;CfpEd|r34Gq;H!of}ONgos zo&a&6`Qe$}bxm>H`yAdA>hSODwm>wQ39yVVtKwY%1n=V6>Fd|r;mtI2N!tDG?ZM0G zPt(;~*K9FU++uN*!yNxq72hb)(cErmDd@jT`_fi9;JGJ+RHzeS6WVa?OGs31Zmu{2 z!T#6UaFpmkj{dd zni`YH5Z=K=AqW9+iKn&(Pp|AP&rrZeOk82^kCKv-m@2z^ZrFqwoir=5i-rZBIt3jb zAK!gv$NAILOG){>`kBqm&9SrQdlGhd4PK^^5=t(19C&oD*s*ojX^+?Uql<}Yk!;uV z_V#w3xK`i;B|6jIbOAjs@O10v;tIPi$>laN#2@F>0GvxiGG$%Z$6@@dU8$@+B>;)W zvhMLQ*pMyPJUOBaGLc&LN7NIc>o&bTvBL#MfJxmdT;Jw+<*I&G3o&!Ckait;9JG5t zzYf(|Z_VD&FB}B#$M^|o9J>*CBZZh?*@mY3@A@!sV;cc>|2#bbb|TpdBTvkHA&mnK z=cCH~S(~vH@6~+XB-n=6I!|vF~;Sw3q5Nmy=ZNYBN?(qeVwQ~;L zZn0QLM@Oa{HF-UF+uOkOj07?Jmb=H-U(8E*`+Nhgz9XUG$r>EbMdUF5nLvPMTNUM= zPd+r=-xWWYp?CG%rv2Aa;6NSs5 zX`dB%(;_a)5Zo{-?CA`*yZB-nWe5|quVbP@Y%D)@b`Y_wacsZ#dR!o~eusS0(94%B z8@E-mTbaZA?~3W%F3_Fmo{bkYb@=e6(Yof4A-s+9LWh8@yvO0)5!o{5(%-!1y^`U` z%?q8}SDm(N%j1FKII|ROQ} zCBf>$jyHxc08t>*tlB}57(pEs_Hrm)h;d)28-pq2YMBjB*=&+{?#?wq4TExSD04N) zunRY@9*)}Ath>?a88bxQ;3EK9CMvdG-5y)aadphK`YdO5>pVlnNpA$>gM?_|<~qp` z4;*d$$-xuu{p@up<_6(ouh!$cJvt&Z2!#zoVx4p=YnkF7@Ico@g;X?Xr(GHlP%*wN zigv$*)c7>Vn+4aL7(;Acryp}I5UB`UAtst}Y-F7U{3RFO1|^1z-Rw70-pkA>0>TOh z<9RbTO%59l;K;(2Q}cCo6F8u#+am$@hr>g(7T>1F(4Fq{;x5prSRBP}VFTpJ$@?*E z`THrrY5}SWFb~!-x`wQE4%pYpn02v4Xioj)R+RmdOOAM?d;o57x+7|hWB15ubyfz> znyl)%hga$4XW9Mwx!4#WGyQeb6Lm|IO>=|E3h%s}&lnDVRnu?nzj|fn&P-*obsgP%*?i5uM?@MiX`5T9GH}AD@=q8i09ijDxh=r%@*J{ zEk8GxWF{b;o)@6PYlho%>LMJ?JVEq%=c;?3q4`%&DeszaU~$aU&mkLJ>aPH!xqqK!9bBCm);C zobQ>rqfRe3K@q(}N~rlzsIz1(htpEc{2O9$`3Q4T_83QohxoEpQ~83HkI&QkBZ(~3 z%NhwRYi;Tq7K+9u9WY}ui`zW-e_vIMRcaRKcv)tx-8K#i93q(Ec-v_Js;i3 zPQRBhISeR_8iX#>AT!t-!?8dS4u(KvXusg|#B&t29Q+~~kf0?%KPeh!ixMff%BT`! zfbPsrfmU0 z^sM;!&Dcv&TTMqA6u${Ze@<-J#nt_fZv?*8WS z3EGM3ChCRCnVuYOsGi*X{Cs#oiPHU_?8^b_=ZreNLvpGFh1!a$-rw*4m7 z%(*UTP2a?;du^?)Vgha;>!i6^QWAN)|81T1T|;~O-4F2#OXv6vTQc`w+Cj|G4))Rb4xLki zTbC4GD?is?tcMRuTw_CI@o_#qm%ULJlgz8J|c`Y*Dlro?FvyFS@Ze`om4T#>D&55Q!@KL3oECtq(2%#18tY&XjM48dTt!DscIX9)eVaz8c!Ko31lt zS0atLMvQATA+u(q&my&ZuPzPNEC75ToqZC5zTK7c0;LimrwzCDK2U1Q($~(T)Pov% z=QMd;mPr(HTAAZmjqM2}&FgE{CnmdZZGTbULa0qW*=>Rwk!;yzF=2V<*li@T-rDtb zv{Z{&lN?uVaMlOFbhJ;^dKjA5dlM;@A67Wxf)GkWv+fgDk3X9iFGl9UZ2GerC1 zPM9$l?&M2g~X%-JB^zc-wyHHvz^`1u?a1-_0Ht)ZB1sMvHmizRsXeym; zG5ghgjQ>=+($1c_Cth!cp=C#)W=FS`-%MlJXK=PZP$(J4oqV9qUbFw+#xsh~&D=Gv z6=?&-;^;6$+|sIvRDRipa-GuOU>9Um>cX6$l!vTrkapjV?&3N3Xkol#!a+~*^Sa2f|m^lAInQb2S{$f3zO`_XL);=NE9u-@1_8_*1MO(9VuV&g+8~65f+VBuIi|iU1mmcvCh2nj3?es6eAkz`I zaL=v|?mZeQFtTna<6e-lrh!CcAkubp9Xb>6rI;}c{iXortuJhfyec!LjWhD-2$G4iVLRqn#a(yINX-JYh_ty=_5Q~+J# z&GOXY<{U_;#BqdL_nux|+eVJ7glj4cFi375^D)j5LJDKy?elw%>hwD)YS>Gnuf}kjFhg8ijeTGEg&yh3ES3lpvAHkqDg2^@yKS<; z#65gc1Si_X>>eJ%KRNL1h&lX`v-Y0DHV1Bfcp^LWK;bjaI>dPEY~VIeeu?o-u&{T% z+rpJS?Ks{{9D*0V!_YGvW4)N3R&Cir?>`Yz?7!%PdlJ-ytapdXozJ`MUaxP-GI^^k z#Oq-Gx@0A|mhM#525enT0Di!D>ux$@9gXw$&PEcqw`Z8QAGs?FgKT126s9Kh-H1s` z)9rt;msP6us$GVWU| z)1Ub=!fdaT5iM2zCRYiK@@pNTy&TY8JoA=oYik17YLudARz?eABv=KfEnyVl3o{G? z#TwP^?4#E#Hu3z|oev(EWM}gtvL`$OW? zwKcr{24jgUPsk9e9p3;td(WOdwe+rSl^@5t`6nl&vu=Sy&3!E~co;-sfr1~-u)Gb1 zhVVi{>LdgU*$8%iDbMAiRY}$#APyct{2HI0#>#KYzY&l_PYWO~L*C3{oF7wbZh7j{KX26L_~ngJI}RnsaX>ounJ^4!@r%19xNeIg*<7vBL0 zHBuuuE4Hk>Jk0O0ce>Z4DAkJ!Z}-+ULpw#{=I|r?_I7B&3B$xg!^TU}`o-<{*We0J z%$T*x%WBz^MsS_%*X+D`WQ$)PHCxIJn+JB2MH_KOASPaT5MyzGl@y6gl%tBQK;-no{td_~~}`Jk#5XcKrpi-Hc<`?g5mron%o}H|8&fsLl`UZPD;CmoErPmKy}Kq|=+Hh?pZJ28 zozQWW#s{so$8wE})J86aTo+EvH}(^yk5WoBZ!AN2@?#c)xun4v+R7%?6Jk3a)Sr)` zcm|)uDd$DPmbDY`M=x>hVjFN*om_T!7<(}?ge$AAl@{k>qcjXD&hg=Z&dqDGxTE01 zZ#{}{qrj##PRoCueT}bUHf#=O;Hs_gZmDXNk9zYk&U0~oT8(um#a&JD$@{R6v=K4^ z2LTuNQNB}XGp~2`@aU-ExwRdpo=Lck;vz=&3}Jd49T}oy>J`l{^TA|!>cOEbGAir0 z2xW-bKcNlU`^j*3w1Dz%L3%zwC(OvDS2S_+m7SBwK6Q{1q@&EPoQbi?f%|Z-3^#1e z-D3%{ALY1`#He6l3yW>4+TkJVhqGIEfXg@eqixbpFJer11@+!%+El}Wktv(n5<%{8 z5t*^aGTYc*sUP;ta3cX0UuBgG4&1|>2+i*vW;kLGgdn$$Rm4YyAdS|ZBrJk#qJ9_j z-G2Z3I+q6-QSgP&LMpi-$j|{{qaz?k;V4HItdF}a9O}q@kEIQbgF(V34&3x5u7F_G zq6`T4mSW4zwKeiKZG9r3My0mq_1I9GczB5ZLiS6EFGHJ2~2Ml`az(Gtt-m0k@bU z{|1F^$q7TJAqe-L2_UIRjCIgME0en`Z#2LAg`j15R!>1RKarp7h3eQ0! z3$t}&_i1Z4W|$qlgqY|piVP7q$g|8ok);atPOjRtn@b1-Oa02c3=ZwabOdM zFRu>4AX&51{4qVzIUknH=!7MuF1BKKLFLTTpA3FrnJ4p8it#nvy>&A)Gg52c+EHUK z500yJs2boRwf!(RxV5V@MvY>HumpskMlNzs{JZxJQ6FNROFo_I{^+`NSM2)Z0lo4swwz zs+nI(xHi?SC%aLMYM7m+lzMMBgIx=rt(F7pi`aAayqVD);Nw3X0SD~03b)=dAi;Uc z{!7TyMDj=abmPrV#xQ37L(R8vuT?5jLHf}w7vE$Nt}O3zrJoQKGLFE#;6nI*bV`&s z62CR0Ark&PtE#+8QokaSsoV zh-4Vo+M$i%A&dS)${UG0OtIq=$9C~r_+oqao>yI7kf5e}Ue`c|XS$PGlg@-K3 z2OroC&TbbBc&el}`U9JS$a6*v*m#q&PX*2ij5Mv@(ZQ!P( zJFRGe%Qhu8-tgK|w2h#9lFbdG&7Om7lK`{R^gMOHK#!}Z+-Q7FnLP^GCJh=5vYJLp zXaLTk8{*ScRENU^H+b#Q)-asRan(*=DKbh>WZw_kFRh1ydXW50D-?-XBz4;Hej#dH z_JfXf1YLTC^mE&4DW58R7^7O%w4D&>fXct92%kb8zf4Dfl|j$}98_+NK@d5ASySeo zQeE0`HNeiT&7j*Egfys*a8Lq_7L?3%psJDt6@uPLb3k7t9KS$KfTeX<6&;|GOJbVu zdU29UlXn9_cbBu52MYf3hI%7AY$u?0VqF@Xilqn$BvNB^bQBNHyfULn#7N5uMT2oc zh=K|(CN5B)bYJ-F%bEyf9xL>2S_8m6rCz(t(j$}u=;@%9ArqxpdfoJk+F*DIdBb$(Bq|I%swR7@d3s+&+|dSu`Rp&X}&F&ZoJWrzj%P2 z_5ye>#DkW>xV_AfwKu?-1|ZM%Ln3@EP-8}iJ)cpQpF1|DU62a}qkBwU zXzTO~HqpodmT#h#A-)kD##9uTy=elzn9rQ8NM%Rk%+y`@{_NA;|>=FU(y<#PFA z^=7b*f`vR=h*wk~Y|}pXMz9bsoB(zBoHNP|1N+yL&=#_uy`gbb`A(!7aGEyTdDzZ|`%@ z+4sJ2|9h{;$Vkyr)%BCL=9+V^4wjb{LwSY&3JMAeMM7No6BHCIaQq?y0UCHFddV3R z_z%%mT-^Z*3bph3--~!!R01fdw@?zoAC+8F_tP4Z>-n*ID38usuz$B_sQRjAva3`( zs|i-5X_;+@82V+_5V1kWot|R>0~>A z^kN&K9R?~0)1{rl+P(HL=fqRm@Z zL*N46ts@^;4d7v5uijmkn{iDCi6dy_eX+1TM&5FuAU$a4Sfs+3qMSi z4MR%GoXWX}?=_wh{6a8EHH9%e>TGPyKzyAL{Og2iU8-~;*Ddt$r8)5$`pJtZJ5pYd z4LgMmPjJJ*}ET!OC3N&Le9-I3+HD1SGHd(vc^3WJ=v3U}II&Q4-fBM)GJN#e-Zq ze!DZRsA?`@TD+^+TrczkcKLxLBqBd=`J7%U!2MHj$GON?N7;mN8GS3}o#~UyPv^nS zA2n!aye2VuM)L+TLj6p_3hx6FA=yp`go*dMlM8oOu2xn`-pa1OUj#>!0-p_K3(Db4F56_jUPIrF3I_3_&gUEfplZxggFl6MtS6ihOal6Dr`Qv zhVkISzcT!a(tJ6fCLI#_xom~ixmU;NMnh>{bhT_hs`z6S^;_rcr2Q|fp)xS3U#he~ zv$xLJxbc$>hWt^ajr#m}L!m-gX3!{|+`*=HTe1=gysGmg&5+Ur;3pS*Tuvd`*#%wXi^6-ejCuj)rC>*ocPXQ|1-hn&*7WTnbt z2j}$cVpAvm{+U1SH7@3JQ}S~On++#8Nksi`$bB&UFRM%7Ad+T#L;JP$=Sue1f!P&B zWQQs%FD?r;J&{pz>@ofPOhBTW{4}@lI9~g?{C3)l4L=X$_t7-X=W;(QffskqS&WKA zNC@0#4=8U!dqF$pG?a%bVQ*k5b%BN2{^qNn37r7$TDeBmcOg8&!knQbn;xV%{1>fa09(Rz$E8}fv%8T@u7%5TsoTMK8! zY9_6;F8-R$IucJ;KRi+#C`s{~CS1I%nC^r(kL<9YC?#I##|9kA;B0R`(|T8>mg%kA zAh3C-0&#yr0~;>YW@u-u#D+4(0B`qo!MH`8_hr`3QSF_iSa0l1tt>Y%@0;CYr)QQa zjZI(kEyVF%>-AA)oEvb(X$k&MhrC6$* zf5ctXIY~W|dOrwox^~-h4MAcq(aha{ z2MhCl>VZ%sP0fbjN`^@q5oxCvd1sr}WL$%$_EeCYZ@uvxc$RmWNBLhJ@!f=mZD|4reDZt_tgv-O3znL_}#I&7a?5pu|lw@bMawJYJ6o-B(Hpf zsn`>$Fu~f9|Cm?tI%bS@k3;9MB2a(xg5hM4>WX42|0LJEAIr&OUo}nrFxpm34u@vb zGciD?d?r8b2UmEcmOFL%n!n(acJYT(TnPwueZmXt(R7ArWlwBMb8JPPf(jXd&<|p2 zXFOXsNRcNyJd*go4|En}qyz&s9cfEFeCHsHV7MKIs$Gho`k%%*nr`VXjRupC%uevL_HE0PwLP$NaT(_Yp-sA7c<2or$7HbH4giUcXL{S9pX`SuGDqw!|pjO z^^^KG&^nv*Gv&B;J=d;!49CFyRI*9gHl=daMl_yss9P%gy?6Gug}}}7UgCI(I(4|& zMf<3v_vOm9P_ZG&lq5SbV~a=+w59@zNvTl5r*tr7-omEbG`PwkYEdbx^K28Mo4~-x zJ{Vsbgld>T!N9GuSZJ?Mf+J$qmPn|Nw~dMYb+Tm1lR7~&o0c!euDY`1(@%Xybd1r@ ze4(kei!YRB#w|;0irpL=qX^#MG6}}7#e!8HDp|BA4xP<cb4d`R<7Y%pwDTC$DeF!#u!gDbCc#Vz^=NTTku zlR)*zNxQvhRrM>{qE^Sx0XG3xVV&9=aC{T=8so|`Da!DL*mFz`h6AVD^H|*4-<8;D z)QWiwBw#d;s1mJeJgQn#64p(8-50Zs3u(wNA(|e#O5f&QMgE{EsOi**_~?A#svMG_ z=529dnC5%h9HNk;942{&RZMOzZ>nn76H(mgv6L=(IpOlAn$_an5Nw7*jXi}U5RK+8 z@iA>K(Z&ksETmj`qOAnWxXLj5QcJ9&<*b!x&bF_m`-JTo;j>*ieL7XQx9eI$+qnW><0rsiEpwvy~V z$Y>dd2M*W&#@PFH7kW+U`c6BiDQAR4KIB#9fPF5N6zy16p{$3cS*ENSk}S&g7ShSoalAk-V4bweJUXRZ1Hx^PsZ_D3tV~G%NE449Qg_ zN(xLj#w<}zCd8(cNCX$Z!nO!!y}^`<-^TCa))Iveej8 z%S5N4+tq;p~EY@vB~;jGNuR1&*z)*JT;_9eQy{La+@jf&3V z8>HQ;7_GuuV24^*DdNx$j zZ%@cWL2dGIJyxN*TR@$5s_b&GvBRBhQszRNY5hWb5oOi*ik|RD+26JXHKSn$y|lqB z%|^D=Cu(5djwrzbf09c(FFRH7f=e?~b+pqTMHMAYsIP+Vz@NAy@Ef-^12h!xySBER zL^XOD@5^g0W2k_Lfq^!A7h&eDW%jo_mZ}25dAt_+>Mc9! zPt;Uurm$k)d39b+%0KfDihru9m8x0SI_kdcOFP6W!EL6#@I?7p%o&)~X6@ zE#vMUEb!G&mrOTMUJM`}K@<6mgmOw8-=)Zptj%`$S{UB_}X{UkC)7?~j)(vZs zf0XFYzPjQ45^W`kI->*tLh3CkwXsPix9a6`njhPM(+7T4WcSNACnm9 zRNZ)#N%SeBIDU43R1t+H(RY5>hZ5Zhiofynr>NQK<><3bm8J|JA;vI2PBcsxiN0bI zfiax#*Tc7lL(YGp?Qro{4YJK6Gj1vRK7$i^s$QmU*=zRkm(O_I5s?r5fjJJhvi1T| zB8)g|@#_X5&83J_*ibxm&NML zOw|)VnwaBiX?gZZ8d$_1NttnDthP>=O5nW8RpS>X=18raR%JU=v&o9>ls}O-PvXYG z0H7l*S~hnuQPgy=JpA3{>=$uQWV3rahz`^|+{PMYziQRM6eL>v)W;78Kl~m!ZQY)vq9p zh8&Y6N1o^6^YJo6R|{{D-oN()g&9wtw(1#bG4g&td^HH96$~PS{$Wz<({&mlEF0&! zwBFzb`m2vvW>ejCVsND6!?a*^0u};NjWa9^g=3>YBSmTg8`1jJOJEgA`c0ICODukz zu};$Ss4lEx4sIy#$)~dfMP2{4VkPh~)y~z9y5AQXU$Wz(MI*fl)p#TKbJ8SU5&t0N zC9U%Jz8(aMv(UDo+YXS-3Bcmbb_d%_ExnxRkub6CO zNlTfLZ^+}FOpc!BW@8~s!ir@&o0xg!p|pAR2M$r2lpgTs-8(+VmFu-96E33fS*i5gLg~$i{Ds}3i)&#oaF@=M`JleKRK#O=DEhjW_VEr zqn7vSI1V1k48-zl8>YKfpR*EAQizdxC9X>x{2s1ZDr-7Bl6SU{HKU{>P>D;*2pZ~8 z*UjfyLhdkTeVI-G`ZWmBztFqg0g33UUOKMcT2vtlvCWlIx!W~xMbE0JO^%6jEmNJD zY`qZGv~Fev5cX-8<9MR=36rS1T${5^bUm}9+At|)GZn&7x8rEJ;3v4iwf^D5d_pg> zSKk~qlpEB=r*_Z!`$jgUX37E+3ua5H6(fwjc>F!$@Ap%@Y@1sSz2}hH4op4n^W@wg zp^Q-*JdS^b=a~^3JaWZeYR9bPOUc=vIv=F@XjoF33neLzB1#3|IBgbW_1I3eY^$$x zQs_7xe`?jU?tPmQdU_BK=4ZO5`P#VCo@-yD_JhBP0sT#5%D(W_rj$29ig=x`f>p5T zU`D(tFn^EzXf&QPG=Zr><$XJ(j4$_+dJYD+$$sx+fZlp-yI6ug4HP#hg@@JG&-{&ewc{jT* zF?AX%k%F5dv#SOvU(&h}h*t#Ic^JXQYh4YBgx^Xm^5j;&n$fhsrl?AoK@ZBFY#Jz< zzV%wtQfd$N_hI~Y%ctSgl2!`M*3^swP zraJMdsLdakOZh#`=n8A|@7?t|6;X{!Z5TcU5in-E5m}qQ*Czr=!;tDLU_abl$!%UV zB82@cDKd$!#d@eMvbloYvP)tZqOhZ+y?tGfZ)8?Ovn9eQm*Seen83sLxNQAlEfe?s z_nt3Im(BvAa8R|x^zgdR+3px!?;?}%mlG=DCY%nUDb_hO+?lo3$F(+%OIa!y_(UCO z(66;tkdP~sHN4}Au594NmoYpxbtPt1CDy($Bmm(a1HT@V*GMMzR#UnZw zpXuM`h`}RjC$bL`&iC0!_M;HuSMS1UMl@=s>%fyf3ICkD9Zvjxg_Vd0jyqu5q?Lg* zAjcVRD**nd0ru$2r_NgnT<3LNLvO7c6bM5ZcJp`Rm5@0n8#2|Fa^LKRmGHuQ-Q?Ii zgkqk^EGLpp*qROe*>B9c-#?;I+!0@%jvyD344$}c=mDAo2ZYxj3@)SIudN}N(cl7wgLCH4;7qwhrw!yFmN zZ>7X5nhDEQtp$M?-gi^YDkA&-jnFPBe*o*_i1jC%w+5V6aJtT>bX)S977lf7&kVs` z!%jFY>X2a+Lwm~SoZbf8qBb%gj8Sj@1yfwRZU}{(ON$$%WH2$nD=e5bTRLma-_Vfb zL09S>=~zj)navYsa!kVoG9^R*Pxkz#2MIb_epka=^}|)skU8z3OD2ZL3if#&T{K7M^){TwA>1y1m|azaN+mL^C^ zvpdtaZ*Sj;SYGp=b9}!BVR9|)KoZn{SvL80oo}Y*^i6(2TR<&dzXpYTOieuoZ9V;V zcblMcKnV&eR0vpg#*Mib*?1aHvkCZYYMmQ_n1Q9`cjgpL&+gTc<@t7KY|}B3ku0M6 z`>q?yExvSEydULOcAIJiJB1tkIfhNA8=T@kH4tZE2tEvD`MAD4d~ul5~# zfErz(2wq-_%J$ahoqKyafXKa4ASgMBdG%qP9bpgUhb zYBhor>iIEml08S_Umfv4fi@K7XXC(u=*q>w2J-r5X9(p^EVGIF^_l|b35hbW7A;9^ ziC3zO`%`y@!qwotlEaxZ-`f*9UR9L?-A5eG(^R8S+szH$fN@{}molW*k5 z=Ip}g#5LM3;}o&EUq;S5*_|||)HQ%fPx{RF&6<%P+J5L2S}g}QUW7r+PO*Wmqle(1HFZOhFDUFW&tLNgQtLW@j0}-t+Tk@&KJ}K~u{! z(nb5i>Z?wx-XeO?)MZF#N-Sh|HMR_Wvmz4jhG`8&v1UhxBDZb-uuW7AK~*^laIE)H|%^F{vF@` z35GAk{&g`N1hjvG5Z8Yl+29h99U87yw^*~ORVb?eeS@pWB0Vocv!%vRMTU#)JmQ)f zyj%KCfzVxsv1exg-?Oxa9viQGv^@?Z<$g;_3Q(A=GUF2*P3kMh7Q}1vuZeAM40;Mr zVg8)(7{5`~75$m3H7$qy;c9d5GegkqD{scAPALy_f5x@i$gippPJOgO{JJsojW>MY zd+i*x+CcO2S>hzd-s_T3h|&(&USsUfxV7#btE_xH#f@ef%hRpX2jRM|d`NmS4#fee+^4{tAl`g z*0ZlEn%b`BCNw>O1}M~k2QRw~4v{Ib!G+SJbIw$6IkDG0c;vbY zTwvX+DM1>)8sM}Ul>g`E0|P`9&X1N_JXF_0-gl3&4hq0pR1YgIFx$yJh-y=QWg{pg zvt=NxL}b&>=uG?J^!5=R3QA7Q2knK>uP8tUyCVIoJyAIraoR%wRMg&)UW-NH$}0l5 z0aVh42f1Y} z<2#N}e3aq%TrcI|O@H9%38dd0Rn#8t@);($X_^mg))U7hQYNHi`0%q?@5bfc z=$1yn20p%8C$yA;6dxaw zbrj17jbOQ)=kNMEIuaSqNy?#xVgmbG9^*Oc$m&>=!+_P{(wh6URYY=rVhz&l1Xec_ zb{U0f)I)*qff%t-pcmUh)xzYWcz9^}dV{4Bc+>2}yk(~G!|RtOHP=;@Q}~iJv9+<~ zaLp1cOcbPpw?F`^LnJ@!r`XbD`jYeq8SSVZR`25iQMLEgP=oJqS8XaA`YoE5l5t$f z@&M{!c%DSwXlfdj&F|mo(Etaqj`eEpw+v1|ZjAY}=2QQyU*;fx211}tSG`8GBot-% zJv6s@>}{b$Ql5v50G@taxZ00+g3R>iczEcX_OC9Ava@mQ+%xQrPzDSa@KLfbv2`MYBZa*%sX6u6w;#)~SFROyw9Yt0xa zVwO@%sYtTjgqk)|3jmCT8beQ)*Wa*Q4BT}{MOY)PcGZlS3=KEOx~F=u29et4LBiCD zg7Wu3Fd|S)NKf>{A@RM4fmmm-pi4G3$UZnLe<@p>a2tZbz%bex-YtG@WhCj^aGV*3 zpWdb?s`*)bE~;Co&+r1`f?rRj_}@&5GXz0d088d|9aueA5&=SfN`s;kdE15Jzb&23T! z^->&Sd=?=b1NXDDn+J6Ji}Z0dioJDRvLRE3#W`pCtMB+j%vVi>Wi=NvMZGEPt!Sda z!Q33eMwbQYoOK9B8bnH)r3q6KGFJc0D}ACHG+Bnm5$D#7;Nl7R_P|pMeGzMPposWR z@n2qml-(m(y|=ukoYV4lx`FI#$RxpvzV!aHs|=c_*reJa{yK~T4t_cO7kD^0x~5z9`#-U%32 z;V6vO71d6mEG+eNvI?5m?}9dzOI5QE&;nL($ohZ&vxZ#770vxv>yawR}mnLRo&-jGPpU;1G2 zSR!npC{qQL8pVTq?{bn8P^K#MT?Ct>Wmm7_x-M;^vzf=5Zm>&C0O_SpqRQoxU?Z;r zB$i4mxi|>BuKn1afr!^GJ7}TGEh1UT79~3ALA^Z2)J-obqq?%297XA$8N`ZV+OU+% z{Qdz`4s+P>Pzs)Gk+7$4r7DoaDgEs2y5*btZI)CL-rmdhb;ZcJ=yA6Oh8Fxz5Ei>z z(&J{KiG}2vc}_C7k3#G(8HD-1KAGRi7n)PagQNw`Xq%~Uk`~_!Y2JCUmoK+2$y5mS zoAbm4oEiD!wkw3}v?B{yyDI@I@cR-z{g?yKsAplCHEThlUMJ84C(( zIXEhuddnR;PUh{+nz>>)0LV<5Z`4;8`tGc}4CSi|t!^94OB|!ae;rV|7_5b71d~#=+ z^!#VfO3$7f{N&1@KZ=oDLXW2V<_HGbU^d6G;% z`6C17Fi@N#*dk^pVfNZ$`yj*HnWfbtx~Ig=Ms~@z(zn(3 z6qL;r2{LdDOrHrA-AAi=Yu~n-obEm1dbWPs)$$w+_aw!pK($hL6LLaR!)#6~f{XpM z?r7`RdC~&K)>r-<-?6{e({@6L01g80U7DiUd14g6Z5l%6zon=~0k=n*CUab+`})DJ z#wra7af*-}61_v~LSQ5n2KWs7Cyx&o}}Dh}Lx&L>;0DTW(YCN>y0N z7YCq4=kjuZHkYYLM&-G7p5#nZ$_pErs>!L{<~{FbR!EcuD6NXZWK)F5pPrdqAH~v~ z@x=l*@w|``b|VTSD1c&WRlvd^;L?1|(P5YCDEfEcPJ~B&@nRt#t-uEf@%d5{&W!QK zkg0B^(lB-iki*C61kyBz95CPT(ga$3WO@l?#YnZ73@^6IXn_FXhfl(#p(9qybNu$nftJi3kJJscz(sA7I(= zQ23=GeAS|I!tr5p(#zj?9WKy$$a~%flxdeBF>9TgK}LU8iFT*bbbc zwwlAq(<%3{&vW8yJ?U-YI4cgRxsSQd7-|!qfu$%v#|SMni+^YCtg3Q3mWeKcb)V(Rnfzg^ z_`>uFJ-#z(MQpxdC_hMfO!IBE3F&p%nCu5q<-yzVM2{xLnx0Yz_Cy-l-O6ds>`E%- zTO%hAs<(waivIAkJ!+mM{dRl+$=_2XizeZ)NO#icylM68HIiaRE&aRIsmjK#``J&6 zb;e?~WN?ae$tp4^Qj$ZQB}NtM{q{Oo>hrqR(1faTmK;B~-`UNfPgk%;bSksr0MlAj zWT+b?hcYZR``OvouyTXKAeSNalm4fJVw#yRz}&hR-cnoR^(G>%8hn;e_e6ILMx_Dl zK=$d6SbP@R#qNkVjyu*{8K4cumiTY=-Hd@})HrFrN-}`QW6DLaIvWtX9J1EpWbeY? zNrryXm?i&nR~{XuMA&Dn!Z&^~PR(uZn@I!%tBW>of$-YtATi&E2Ps2n?nkJy-F4*| z-yC?P$X&s}}(r`9b|;<=kBz(PPxw|9t7T{j^PLESe?yCe`B z+DfhG!$zNYxQV>;sSiyH9s)fVel3dq3vUF{FrzOH_Ag5BxT;vyWp_4IC3pdbibw{O zf`Os1NbwS28O!m`f_iP=#70=CTWv_WIbsnSjqUNxHfoMl8h@Z@n!Fe6_}ZO zhrY??eXS1_sqlVyM_V>rL4GJ)Ba~gPMw*QmntJUbD=BhLBW6rek!`d49uv6@cKzT)t&`sX(OY{PESbUuR)PD{~fS zd7tO9>Q-c=%F#Ynuy>aK^pl-C0p^+yO!LLZ7Mb!fKb|o8(WW_V?$-J8*0M?5Wt;?l zi67r)4hh_T&e78d&d*R`-d{vCv=f=9ccAXLH=ZPwYLNAacxPf~y+HH|VV6Yjk1BDr zI9W>?_LEiSNE_*cBfK`io{222#?nhQC<7@coo)oFP46q)Tm&3}qo32mZzNZ!p;1>G zWr{;#0qbTr&glcPa;p0>=3Yo;uSI zH00)kO$kH-0ETglc>Nb$L5_kS5@)H*C$L1m3GV^pP$}_KjoYLrtg`71gx@Eyq;sJ)8fC5*h8hqYm<>%wSo1ofc*Lyo6HWM zx1a`zKO1QY=vMz2X@3HFK^HEwKHWx>bUkA}w%mNU6V9Ry~B`8178FKE;avC1~UWhFo4 zchO^Q(<&v>CMG`Q7j^SeaR_kR#g@B;_ib(7JE|L2K|a!ObP*0K2QoM5;Kjr4&X6nq zj8x#Du5rC*+jHRsZ{d@=J=te$)ZE6DHQM z-TvieyZ{9hSH6nLR|V$nHXM0+P1#5Gf{t@D6g{ z-Rlv!UcJ{*R^$%dn^&18K5!Vo)2LAQ{gGC(Khij*7s{~u7`dY8gt7ei-!RK3dTy{i zYQ}Yb+eZV;?6xxjeSr2ICink0u1LMSqq_gH&d8kLP?~He`Ruvyw*$z~;DSM*_Px>h z*12HM0MNrBH#CP!shtZ`37yn6QWbA(0OLh>%IWh63>b}&=O6q>I)~onQ=&$i}(H-YtJJ`h}oF5I(kS3d;#;J zU;N?=x%1m6j3&}1(O4NEG2mYBRYEr%y}1gCX?&7{g2pKX;)?+b1#-tGZ?9fv=m*@< zf!+*%)ypZRXU0ALNzSDiF96(!%fIy__{0MaeuGRHsipKxPcB3ul^5{R02gbAzM9LVE*h3r^e^lnl*cA;5&Fm6I zezxE`cnN0J%=S*cu7IEXjStl5X3kzJ@X*?@^+8)(@l91Uyd!|xWd$cvf>&Y^y{1$U zn9Bu;67TuJDz<=1U3o!?+WA2&KVy~KgkKj#jAZlH0sU*l=nIZQgh*;1LC7tG9p(NH z@w%6*g9^B>lhF3Mr41L)j4$l}_%Qph)dDSJ+C@6!HN3wm(d7&bAOi-IhSrwF7-$T( zDmOTL8K-X0j_|EGubw(`!c3j?POsA^T*nCRIP?DH%U&tQ7^zIV?XxetQ;Au`PmPD| zb??-r@>V}32bNDZ5p^((?P*L(^%l6g@H~bc4}QS?!T`-nHoyCkNlqxP0Zo02;14N5 zclZD|mfAs?peRsxf-j3a?v8UFZ8^<{fipJ)zR3kD`73~^aEH0=MYx(v_IRt!s|>LG zr!T0l%ZsX;bqE@H8No+CX^(idzh_x_yn^Hju}iF&e`UPs{2a)f*F(*a)xdsN7_7lM zDSES5VQ%sr;4dI$E6!nd4g#yb(^9w->V%Zfnh0lDM#6{7(|~I5BE*`;`sAAO@EgyU zx(g-EB?8;kn4}&z_8HcKvpmYu-5FaOTFga#R=RD{5fNWXza=f!g)Z4R|2`x6Hzg)I z)g+7p&Fd5)sj3pN5J`u+AiqeQipbBs^x*(^gAq{GCv>w~w0k&ziQlc(%qQQ8aQSg4wjlF1!Y;>mB}+MP*W ztDQBt*OZj4HYjN`(;ng4m5)wrpRCQ)h6dP$1M~R!4Pu}V<*B~PHnc~#f?X-tKh-(@ z3VoWZG$CocZmdDNVtxjO-45%-N@P+qEX0qXtNtNRvv5=-Gjw}W#P_b_FKf|MY$x)E zwP<+lTbLiJH&~q$t8J_Ll`SPYgB09IxMg~_Yc4qtsE&=1gnz(D_PmiUYTEsYgNH1`VI?T@7C!Q+0azQp1<)%mYH`Qq0^#h@ z;g+P)ZpTDY5`%{>2^+*-X!10C`Ge7E28v@_kWZb=m2+X5?7x zv<0RgC=Z3V&#_!cQKbRNjI+|&B8OTcUT`u zU11<-$eTmQD<6MMS=q0$$|x?K8`H1GZ8plT()Evx{U-5vi2?)FI*#>*HwSbZ9Ms#( za|@`VGk`{F)yyhry&V}z675p>X7U2e&lH^^#jvMV%l07e`p(5fohAOGNx7^)AV*^~>v zh3-E9;ZJK-H7Y<;R8XZ%0&{xY$$EFUOY5>XdM)&>e;O@<31h<{I3v`q&Kvzrzo+nR z;V&uxISM(9b9=(>;dtS8Kx(W~%m9BRA48LWp;cDXXl*>9j+SgB*jW&;hjh%zsyLeh zY#@t}Co-)Qeh*9O$Gu$_>G z7P1S%Y?&XCho61R7vF$d@x<=|vml-U-5k0+^2n?h1}d3P^v_g_zsi*p{D(Wx)(-E+ zjGy{?C%qp(#;D^}3fg;5c~TO)n)DUV*KC#S`JN7b`~C5J?1QJ{bsMSwq8^BQQ`k6c zO>sqd)dz3+ejc8M9Kr-^5tM1IC#f2jtv0r2 zm3@unZoC`B$)e(Vr%C&po;!YAN3R0Rwwn^lSxbl!ABgjuc0;naz+P!}Ty@>Uf)sPy zUSz<8G$lyQs+5UW0Q5=n3CqiIxt?Rx7fvh&Q7`=Jezqw+8z##p>rAblWdlV*xajBl zLck(ReJNtp$@wKvRh=Ks>xn{;!ja8D$%#Ey(%lI%3)Wt*hfbDGWTSdMy`f;B#1TcXK2RDI83KjYB;{<+s`e-hwzku7p^4fi0%R)xQb zGUpuIhc~*D4|>fOVoLr>^RITxcP68*-)+T>SAa2VE8s0xDS{hTWvxtd?kx$i57Aog zcCuAdoTqC&achhpx>4h+Mz01wWirn=3z7DbQgN@CgCa7DWovr6%IwQ{A8J?fQ;`sN zFKc;kO9vC-M*GexY><#QAL0H6Et5qX>d2A!P zm&D?a#>a+Q^=50Wr11V{1^yo8ZrgGE`mXTQOT(SwJt%sv2K(70?@Pe);Aon8{gzYf zaaG3FsowP7OX-H+`e3G2&-GC6{ckPU|ATL^T;Qs#&&llujvwPcoj~_u!VtnT5+biR z{}lTFO9kHwr0YMA4=?{O%mX!m_>blCeTh%4;)^8o^m;rSU<9Z}?R;wWZ`C^t1pyYH zZyTwFWvp9lm|f>$icG*gJ^smr_!z<3o|AtP;qh}KL;(`vFy*s%01_!}d9(HJf;)PP zNZ6HQ#I@;5jRWXU?0#;Gb&p)yspo$G-2+QRA$jfJu0yx*PInpo9@C-(Y|`C$VlA|P zj1sVZCo(^EA^bNK(K{S56^tPeb0dU2oX!XZ!w5p5+SB+ViSVa4Jfe!P8ZECaykd#J z4P!2rvMrkOxH14fCqEDog4c)9$m22EX9+-b_2waFq&Kt-zT;n>D4X~C!wjfnlOJ@h z5Ru2%?=`(!6VV=VlqIr5e@(x04%441IpxcBS*wr?DAni_tpBZK#wD1?qoO=J(QRVp zD*qAz{C3xpcy|-url{!CM3NM>ckG~0!qP<7OxbNVrst3-(+4=9j{-U1dQb#NCEem% zyRRR}bJdqnXJ|RHI<;rkJvEbgfnf$rI=x!Fo8?HrP&=4jUQMbVPUQe0Q~23P?-~{y zLNF!O8JX{i0R&(!r_;g(ybf0VxUXS z_#vPAX!Efe|1G+2+x(KAl2~k2q}@qI6_bz$8}(Nhr=b-rpy%@b^ulaE(8Bi}2n{Cg zVzU)$=q-Q1WNjM8EVn@zlUGaFc)Qpnf5=b%f0%NB#E7B$lEO2_-|faN=XR<0n#*qd z2nZ*awz-__!)d~Cu zn+^^>5+V|=wmmAujQgN&gNm#N>Zfl9l9tSb3@(LRpf4a2a6XO%<%e2+1DbhO`roLnQn{vqJmBWpDsX$qQJjg>75**T(q$YsC!{`KM) zi;Ti~{PpVSCB9e#B+Cvnl4i4__QFlno0N+`AVk9a3$ss3zab5rACR$_lwC8u!X#1y zsYrA6_(I?GoEy8rlMDjexN04N=5`Nt?O${op z;m6GDbaQQM+pDw#pCe4t#1?~)QII!PY>VL=8Hqe+{0)Ji&%%*#0r>Z)|9q21kFwWW zfgWfKz=CbajEs9Uu1={+CQN1cW!p0Az99~Y46|)1MR|z90Vun;GV4`qK2B&y>3?|v zCS^C_+Els7hv`w`7wgz{FPDyw+!VZQ4%Igbi{05Li`qRK>6f~7f*5aRIN06KH(ul0 zRPLK(5GDh@)0|hHK)P~ueP+Z>K1ufE^e=&#gG1VGTJ?ya4!iR2mA>uA8(-9bg1I1Z zYAM7!K5;3>-tmDi>Rrj7gZ=KcM$9eJo~I(ad{C_Y*mTS>*7ZhB|MUwx2%+7tO(f4a z8wS!xavCrPZl6wlHci2LBRJjF0V9~Bq@}d*_Lm9&84eA{G!Wb_mY#wyf##9;Z9sZZ=R%QP1nwzGY}a3y)u28oy+nIiWVFr}{_6F{r$ia<5Y}Y+K?`ehZ zK!Jm80(LcV9>G5i*8Q9Lj8`}dp3S2FuD_0UP4xUO=$K`M)HTqoGwrgMX+x3Gad-td zm_W_HSEHf0w4RNv%p6I!`56&FcM=o#pWO65I=_MSp~^AK`7{-n(e&@j%RpJfjVXsq zA>xBL3I7DJdiiEbega$k(zwPB$H4ryOHBaSiV-k6&fk*?xGbs_y)J(>hjlH{!yE`U zB+*Viic3%&%K_tNsxP_uMga;i9o+mryXNq`X9Pnd490@!8aB{^B%Eg}`B6%Fgxz6Y z>|)G*qF#|&dt_&anP7ky(k+85`Vu)H2<=Z%hH;cG_FRNAh}OxBL-+2M1m)#Hb=V~$bRQ(Og88>yA3QjY8b$(P9&DKa9s zQZ$6d5k@q7%CbQ78K(VH_#9RAE#Tj+Nuy~&^cDf7^X znA8sP^un>?aRgX`#`BUkhZjaoTY#942FR1lZc6EV-$i6S+lSK*Lte!Hlde*Svje8`&q@q|-*EV8rlU2q1Ag6Z z7{;XSh}4*5NC8w-#-IRYCpc78>wG)X97ja}4oy`A(QFUg(9lX<&p42%DGPb$z!vBl z@iCZnIoPuJVGt#V>OM@t<-7t$zVP1X+1;fi(|pVoD9NjyH%)=YpxFvn7x<#z-9Znk zLl4{Jm*8D-@<|$l^eO6#f4Ec+SRi?+p*+0GZ_s*q@=R#jZjcT)GCSaG;h;KgxJ|6^ zy(&Wx>BF1hU9}!9O1*?mQ7&z>DTwGg^PHdY>%T&qVi{)T8by2aM!YVdn*gCLR{Ev= zkh~XG@ZoAsA)~dVCX@1Ft_Q777%iUk#Shl0l*M^H@G(%OhDLtEtADu%bW9n$c>+7F zUD#}+ehV)f!MRRRVr<86Rv{>g$Huc#hIllO-f6` z**sokyR`fPA}BnEo;#BviR;|ju+g@{!3c025F+f4AcbaSmRQTbJy5k*1c3bTohr{m z7T9*fU-+4o;QPuKN+$Q0fv)nq$thYMA1}jicUD&0a*x@!>k7}tD>qHZnWg5jvTUD& zl+cDRP-8rB+ZORj0z&5u&2Jzmc_8~sTfobb(U=;% zpA5|#N}T7Z#B@m^PQ#&XRuR+Z(>!UM@75E3p0g%8M7RzchU7zi%H-g`<(4!5Kjqd~ zr)7#MlR~r&bnNEyfHtz?25?=gXYCt+0^)5T09XV~ZHClJRGkt{1%!0DFHlfgJJ|sK zfnStQ)$a{#Mq#Yn;Cx6tU z>dL1$VDI;cADfGVV#?`c9+D!QqreZ0pC7OCFwyye52>gtoNmF0?Z0b4)+nzUjArWD zGSEx`xxtv@iQb>at7Kk`&RxC74e$Jj00#i<(}v-77OUCxjx!-;{ngsIxTU9BkHB|)_-q& z_?w>Umx@ZqtkU@O+cVkc?tBRU387|6Y?ak@s3@d6-{Xtp6PCge9Z&TQUXLgnem3s0 z2a`eF|Z z!O(>}KtHl&41}!1*A5G_>)*2_V{%;un$V?2@BFF}o5Dpjc5>xI;F(_0z0?!yeeEFR z3n~{GrXSt0WkvMmlInBwUrk&eJ+{gghk5q#mBarNzDkNZv@s7(aTs854B8xdc{mlb zW{}CL$2Mnk!JD|wTXM#I}QzWo~|ye`7<;ddKwSDuYTqi(Sf*^ku>G2-LBPm2w##ad@F9GYUvn!Tq26@|!{n?B6O_y|F6hY++#drRi*#ai+ zy|+WvdvRSLSmYCp;h)7RDL#YA3?@Xhb!H=-e_Bz{{pu?T6ieY%&1#*63$^Vj;q|Yz zfnX^)sx==MII`q|uJ&rPOK;Hlf+nMjc*zN{d>N;X^l4Su8imT_AK6=hzMsa~+rwEb zd!#;1U3)S^AbQua-PyD3D53mh&wXG+8r;48{oCM?%N( z0%s|b@)^oh+k6nG@x>MAgD;4a0Y#g_{)zoujDBjg>!cmQ+enY?4>efhhz#e~=e$&5 zKU18%6)^!&B$57};fQ76QSkSGS)47`4PMB$wtn~Q{pL$%_|w_9Q5oDh)~U>02?T5I zc49XqJDdB@I|j<43*g@}&4LeR=?Sa|ML3Ia0PPkXKhF#jGSeBGa|7MZs*CQE{(UJs zMrTgWl&qS-G*g-qd5`x*LgU^BF`LX^}!*zQ{3_ocud;KK^;7KvTC& zH+k*TydGmuft-oy`=t7>>+=TOPG|4o{#vm>XmgkPp zNW2lL2ZIK~7m!bP`zoN_9z2*?&)UG7jl%gueY) z#Mnz_Oss%yPjIfAi5j^-Ep|FRnprm%N=Rhqf$qp0sW*P4!s)TYG5B!#xp_lvVh@K| zld%TEl|hf~)Gj*(2DXXja;tl2p7Q9?Xn$&IV3{YjaZF!cGC%nIr%la^w=yE}n3s6` zO)$+=ec49gwczif`@A1HiYK|lcF!=4Yl-&2gKp?nI+wJQU)uJi#++1N-R9un8Z%CAwfsx_$b%(?P&}agh1@*9X>>XX34liD+S>IqgxHvO zOSmu@WF!)(R!(0yuBjo_X*owj@-x?&dCmo_#oxYGZV_S*~f z)8W$+;XmO1XRh_I8hv#5z}gw#2js|7eL_qWxc5Vr_!bVCS^ijZ?5OTvmPnprc|k2_wOFNzOqH zB`-ESARuE}D%Gni1vh(lBzEVx*@a=n&{bOj3>Af4Q^Q=gbNQEh2ynU>_mFF~7zJ<- z1rY-G&@VYmcl~?oeDu-CQ$rceW~ODmsg%h!s&vp7Lob&W?A0gUXswPub0AG!>3f_MDfy~%Q8!YJeKZN&qsU`f(o2{|72(z& zjjH;l&y~(Ze=>Zw{U-@$alJoEHAk*bffnfM* z_`7g718-_~W^l{XgSUapaJ&5}aaxGNo2uBHdxnjB@89&BI&;LI_DJ}hg*ls``n(N3 zyu2}<9`#p|seU{@-7BD#2;P1I=5d#J;9As*ah7o|u!fA}6zT9t&tJVdf;9hvbwVCU z-}2rX*Q@x$;%5=>iHz8=xnvxvHLV!LA#!iGyzxs(eyf7&wgsw~>^qWus>=sg*YQTB z$$8lNhNyzFjE=Gral=$1^3f(d*YM3kN9;Ssen!m=#GbNyO#z>%eWS|62K`|UD*@(L^5B3_cloUKsp1n)el-ad3uzE#yXl@}rtfBusD@4Bazi9Eu z&f%nxrQ&;eMe6wWy9&Ax*^hxR%zg`^^%&(t9KEA6Hiegupt4>o9c7F;f?^H&x3FWcsc3+7s%nh zs|T@Y;GPoq)p-M>XRJfF%X_E>5wopGnd30L2+gqTzxEkjp2}dSv$=lXHx3m(>&$LL zx{b*EH|?66cAI(6xQI`|fDHs}9LZx7ot%br$tRc7`jaO+$b#I=rsCxzIYd{$1yO=D z3zz_Hy`eMqFU`Uq0owKJUjwx3g!O;aEJUv=5{zHtfbZ#>!N&Y<1nd!foaZ%Yy3*Tq zO#Z`hzX}@NNRw@Bs*wO8ed)Xl8`UrDw~ljlXW5{5Ev5mNZj`HqO~wk9y5WD)mMTdi zK~+g(!^!oQ;hee;pS8M*p}I=mE7rRz8X@uvAu4b07Je_eJG8;mywz59t7i$f^ehG; z-aA_S=@j2@o~ zyEBnZ>qX&^dANb8nb}oI&x(zn^dRMTnP#8*21@>wJ^i?w6?KoMIxsZa;+{e*rBSJ!fv1(Jh z8`4fy`|FLk3?yKOnM<7}3L@r)l6UXk%!aHI<*3+Rm0K@bC=5$)1;vj^+eSb>N{GO6 z_VV^sFO|9n|6W_3XRGqNzHi~Q;GDcaGQ{}D2esY(2IItPv=%)tCQTU~AX|73|Lf8`Bo))A#+^3X!=G@o!oo zN5cVv=16DBkz04kvDQDV#{?#q0h~GYr~~Anyk5!u?+jcDGv#;KhZ)m{^(!Z$eXydp zDfyJMs}~QGmXClq+tcJz$%}Rc%{w1hK~`lR*TGorI+y@rS^Z(&|I!#uLuEVFFLn&_ z>ZXs^N;7-krF>!2k{m~Dhe0ii2L!9{m1MipB_}#*j;bokB;?-upW=3(eVTXifxZ@2 z_PyT3JQ?4lI}3`*Qm2jPdo%{mJi5X>QAo5?tI6hiGtxRdwdN$JWXy&Fr%RNl{iE4b zQrfOs3{A5Ty6p^|s{`+<1dPp|DP+2=tjx}78h$e=kA=?(apgYCOP?q4?;1VN!559} zkAf6h&lsUjAOkM*Ox~#>)Oe-N8 zcQSgdAIp=rVTwMMAeVw9-d~4;ZQ!L}0vx9uEiXAba}ry2y~=zYch8q7kd7{T-UrLh z(vRCkzgjDVlK7HiskopEk6*(pBAKmP&yu^MRM2EdLvcavDu$=5wr zH-MpBpnvW?KTxUi7?+;jpyXkMk$MDnk&xyKdV`U!tOL0ehT#YGx~luadBGcZgNAJe zkeP2gjMXE4d=0&Gy1E-PU?#0Yj!H`b^*F(ABx{O;U4*rT$iX5NG;Se&!Z?yGWh^n; z=SK3C(&WpF=-^8imhd)p8wc+vTZf0v&P|wwsD3`cSLQHH}(lW;?y8JQH>_LV9 zLXfxXDxJ-AlwhxH(d+ED4B6bFI!yGFQwn1aQRph?G{3~L$K*@rf~q6D1sR8%^U|qq zXdOlvEi9)N3ZH`~Ulj}7=xOFsTuW+>)F{UG%%KO$l32yO;GOG)^i9f=H;uAqAMV`k zzzZIxr+(m+%UQ*hp5|i@5B%|Q$=`;LRW>~)z?d(4REXDbcy-zMAU9z7<8u4Ppw;+8 z_Zz*%2HzD0vUr$A8#vtES(639mFk$Ao*FE|sVhY6G5ks-(GKOWcfr1ew)e>c_{Sg%U*wK1aT5=Mrtd8pyeaoS+1ovi&`Hr_F~`F;Bd>Y zdme@%wQ=Z$psTA&W1(g|p=l}C>eZ~m_L;imjoq890t{PKrAAfxOikqz9flg>ZYCL% zyzy6QDuS(ZLQ|rR&CM*^=SwekOVIV(|_7GVI6&+E0Q+6cxPoUNkR67{T*l2{j_;$4wsw8 z4@!%`b)|!@YZy=7(7S~hDd$Dfv%R={8UmGjMjUz}nNPO!-ccu(p_U%a<+V03rB|}F z>>BR=$VYH+tj$(wBv{iv6g5$wpgD>LaJlm7%EOvp@7S&8XeKzf`+bxXJ+Xony^_L=E8IjmB$!N%3e$!XQzmtt(atO{h;Y0yD(ua;ZdS$($X?5QbHi5VbZqkIw3-j^l>y0I4Sg`S$3UGc( zk`?|;GUWv|a_N3^HE1$Ow_EW=)UgHy4VY`IIq*PO@FMxq+qRAJZt^;ue+VM>|W0KsxyQLRsO zgH=3bpos+XJOMoUcBfM;gBAbb!Jy@$0I$Nnv*IepXNDohK7z$T;YFF~GNnNIAapYK zo1>3+r4n-Egq|(Ka9%*)B0fFbs1du~=f6C+n8-6Ij;+BCE)h`y^cw;F&CB2Jg7trx z%}m*5qIu*nz+<~uu@`Zeh{R)y=yEJL*y{nW2=E$~q0dwp;i@>UgB3lIRmA7-6t}#( zM#JYRjG4*NLP{Vu@G5>NSgegYGpOlcjw}t_CG~SLs>-qf^UfxnipRKwBRMx~RZcT} z-(k1dH*Xuw7?soR-MRo~xc0tWkwU^HyT{aJ-;RqzsjELjL0(n{!P@GTYZzf}uzaO0 z@9~zRF53w>0pB9TsXXs~POkpui&26lk8FB|xdU%GW~eYfOTWGot%WeojVb4JA*uxX zvPQ>k8TO$zkRh4kA`&L2j`wm;Q&50uQH_QMI8#1*Q)F+^K9+8-{k2N}TWfY^^4)oc z!LqcEb+iJGFp)ZV%qf^`3zhm* z`j{mvq!ub)BG8O6M!T0#xg*y&*xxE$bX63} z$@B1vjhkQda55Ei>Yg{vsU$m|0%u4?*7SXJbhM=Gd*B;I^@#Una3VVLy8Cy&w0*{v zUX*EHvD;}h+HU=PHH5awvxG|WCOI4nwoX0jbPiTcTR%k)gH_h4S)mrs>2XIw+CbPx zC|CVTn*YP{01HGM#0tPdnJ43>aVZSZ^OtIycdU{1w+ape_7YZ&NKL_=thKL~0rU3M za2!|%<{ACb;fg7L5Oi3=@IBjH0_It@VqJvNiGQPrzAlGKB`fM=S(CXnz||d`I5uN_ zT%u0Fx+pN1L&a*ZlojVsZQ1`}ZAf(h%RT9Ro}6#*vNpnaDG<~H{_i3xQfU=bf7IQ; zqIkn30MeA&)%+c;a;XNj%Caebm$byOgsZEEJx_A>J8H#K!Yr>%4~J0yILti->qKlb zCf_FD5YVRT`bvKh%B}ul(A>*J>0P6bh?ya6Ga{XgAH2W9!a3Sd>QjBZUs>$N=tzxD zAa%>_t2=#E@c!$w?^*j5beN>uRh5UGL?RNdA>d6cy@~w?Eq8mejQ!nj z!SJOAvRWEfP|gLeMOWu+dbY*Y`i?%;f)Ba1YkH8>fx9qK+l9sYO$*=40Zjq>0n%PG z!PwTb@?6)VQ0@JPPPswI7+Qf2xpRj577`hu@jj0!IT6gJ$IBDE&c%5f`g?+h(k!KW z^Fb>)GuJI~_nz`iW{|$MTS9J^>}IR)Bp}MD=NZ#Ca$csDtr1P$GU$FuHIlt`WwZf3 zy`ZTlp|CG&pEyf+an^~lpkl7bO7Ou!Z5MG&XV~sHb=J=5+g^PpFO$gxSX*B3oX7KeuY_f z)cpEQO*3_R3o`3Ab@YEqaZtfzJXJ*^q4xFUBh# zI5Pe;FOUXOG1}+VYBbDKsD+Aipqq+MD8gEs<

2?8=(k`4n)e+_@badEHXA19LP? zwf&Vx&kfA?j+snVZX1x7wG6voAKLAE>e)2>P#n?V(=vNMqiFjfeb16nvH0xu?KUm* zkuX85y-l-xT#)OI9FCo6DtR&IvA?rvyRYmPCvV%zp@KM=a6lAwHXpVJT|jd2wc%v*ed#9e`I$v#QSzz@Du z-7*uxkjiEB3rUr+tI{x>>mk#N2T~4`bX5xJ?j}md77Ffan=wAVs#Y7LMOI_Jen)M_ zd)V~`5BwNiObH@~_}n+MDt4lJZ5Xr$kO1O6)KNs<`mD)3Ak-MGy0t6h&`KDeo|fHJ zpP7|9_}tr#nx{IaK&kJhu1uT5O1~lRPTrhlgj^rje5S|xslth0rM_P(cgWi6>d;s` z&i$KjX_-1h)bP)J5k>rfLJ3QBLYX2fYK35u9F=_?3(WjG$59%uiK98kos^}A-- zv>pC0*x>gt7b~+U!>BW45@`L&#Y$oMfob69-TuA5z>=q&z1}%h4?tW}Rbw6=e}a)03$ls<&`4^OY#opDR8#2l#2ksNRl?8dR#(#f~K7TcL9_! zl=LA0?97oj<+`iqRg>qAJYLg}!GuVyjBol=8uX6x<1t3V{ka6xbo3{m$=pS*l0VlL zyJJH+dBNhfH=R5|WWP-}RWAC;`DK^edk;lP0r<(jKrDg4k8JxQO?awo$K`r9gJXA) zKP#mpKr0^+=^Riej0nRMcAo>mPxt-K5iSAY+KkO?B=gkR^>q{ehd5JYgeinkL3l{qS@WX zt(yL)hMRK3DC*+baBU#Qzuab0R+L6tx!^Q(YTv=w#A|Gm)oJ79j)&2KVxA9e>@dI$p=~IBcM7s;v0r zh|0_|2$m+cd(3%0+*0}LnoI9JzTdHS%Zi)b>&movwEmC77aHd7`D`{>SH~mjvsy&t z1I0A&oz`T@aI8|8(&+GtUtjN!tN5aHqT`i^y1TqF?6*Z)n0=@6PR64#i`j9UsfoXU z@g^M?`KtX=G&bKJd4e&Bf)TrZ?+EV8x~va8oZBT)Hu9}YRL@v?)^=IpR_Z&2o?X@e zhzEudD0Yr*cIrDs!}3oUYP4H z&n3~(bGX@NJ&Bolw-w0$$#p~nBk@73A@U#LH8jFV{_KZZVU!}cRo`rvni8KRM zGjlU>5Smf*LmBDbWlR~AYRIogC}L0zY8A5`u#}_P}(RcREe!U z?3iN0PVKr%(dIM|@QBuflMup#tZ@O;d#kImqODTf$liY6WG?piHgmnbYvjpe_4bK8 z0`eJ=LOHwROK0D<<}Ksuava_M=M)L!4#`)X(m1#&_@w*u=qrDpTasznNboZj0Jb^&1;`dqwyeq16x7g8 z&;oMq#gllzqZQIkVREdM=!<8<&`mko9rs|t9Q|Kc`w-Io4^tMx&?pUn1zmsFweE6QqDmX zH9Qmon*6dm;oi;cgh5@<2TA)`~G`k_yp?>mB2s@ TJSPeq3416eE1G>@&+GpI4s~vZ literal 0 HcmV?d00001 diff --git a/.pic/Labs/lab_12_periph/fig_02.xlsx b/.pic/Labs/lab_12_periph/fig_02.xlsx new file mode 100644 index 0000000000000000000000000000000000000000..406047ece88d6d14ef6e3ba01669be2cae791728 GIT binary patch literal 12079 zcmeHtgMVFJ_IGTX4I10Ft%glw+iKD@wj15pcG4J)&Bjh++j?(1GrvC5dEUQZ=G^-^ z=X3YjYn{9IS>I(PS#Ssp5GW8B5D*X&5MfTgaa&Lj5C}*R5Ofe2Fl|vgTW1qnXFXL9 zdlM%e26r24;v5Jtsw@yN;Qap`|HV5{raEZb#e~wPaUdwxt{$2lTv7r<&`h9Bwg;W; z9;ZoGzl}@v^oi0`S*;qTlU&()T$|#O52t*QLQ6zw)0*KyOOZ?;zA&=f@tprzDpTAq zuS`9%HYS`s3kUJ^6zcm|Xt~BkLk3IIS5k5;_BuG$-OdYzeUZ`-K~eHHnw=7``}sru z!7eQ@S!x#?LoRoJ6?#0GRgKnGWfoR-vdUB^LN$i?+em7RYNe*S2O{Z%p(%_kooaJj z9#JA8i!#t68x|r2)*x7vYG#ZJVly8o#YUhT*pKl@QPW&p(P@hyNp1$%u@yYm2oSyqN1~4A z^EVL$_oYinE9p7HMC*y?Ncb;6oV~t+gDCwQOuwozy}bg;o*eKlJP@XOjwaSlj0``| z|AXg$F(&`A^s+d4#cn2qpi`-*;DOtjl^7HeSvO&+77|r&AL(V}uaUWA_$wWh1SqO_ z{@{|I+q|EKmR9&84+cnXez28=qhavAt#>OAN_uc`fu^BwOq6ga+vq`cow=U50Z7Vt zP`S26)08$AWy=n(y_NWWE>?{)&Y*z@i=IyqgvAf=ecvaqwQ6`*0Xie{?zlXts(~}> z;LCXG=efj!eMG?!Zn>i=0Oo*`q1i%(*MK$2^*x^2J9BP}D#Hv%9#RiIW9x61VyPYI z&tCKjX#*;xY-o2ZBjZ&YVjmr24Z&C@neKs!2r7rc4-Y1eCKYqY|uT)P5_70m6qC$4rDJlf5p5_n$S!i z@pP{$27k3%hF(pQ9bx7xt2IX9%!GYK%k23FPG=sPkDd}zGqOy^I`Dn)gMCrAAEA_6 z^YQkOBj*u3>!R8qDsm4@mx(D_5QRR3s_(VHPS^7!X6W3iOqODGtr{2}V?2r68DZnu zeMX}rB%FaQ*dn%S12H$F_=F+oN*IxuukV)TpF{!~^`oK7++9DvOQQx3S##qpj_YSU zME|c8m3c9(8ac7xoZ|xg#p1(jqqAT4OfR92BUH+5zB*4F%9!iYAHxJW4rj_2IedxC z2~=Y|`!w#Vfb1%uq26o7Q~!V#(Ha~)1k>H~)|3**XvVVQV~GcYC!&B?$e|fOr@?L{ zM6fpYQ>HQ%QXu4upJsMGUA{&)_e>;viR;)p2)PD5&0vr?t?hlz?xKNh++D$=*I92mjCw-HD07Xf@B^?Xmk3@ek)ORaM(w)-!uej-^gC>d~f2xK9z z?Udx_PfYz(wCbpEIWus*%4peOl{GaHv%PqpM8t5I1#(l$oX92kBl(0N>yuNb&JB8< zwme}6=TDwV`f)w;lG#KC7-f!rHB2GOCkftYiS2q7PskK1P91INUc7t{-3a9?o|77m z@D(6rOU=9pUr>UUEY91CoWY^Ojj$sG$DjLpUBDxl&%x(mT=bLaj~|Q9a#=#qFe;=& z!G2&;h1Y_4aEG#T-ul&ODn_JH#uubw6v?;ED4x7N+#e>{5p{g(vbRbNpC!Y|P_v9u zHp9$-Sne1!AO3b$kjgU&u z;Veoxu{l@L0pSESPg1i1dL0)cVd?ue3fC~bmIuywg$49PrpRBe`Ob-FYMW&l!=wR3 z?I&^@09Ul$1Vh*P>51e;} zEj!W=aP;d=+M+Nth>z&jr@WAMqnD%LFowtFZ~P=7(b0|$4oi>Wky4z{n*`zp=rS<4 zcJ8Riaf5RUNX4$2rh?ck$CzALES)9@hk*KgxNghmA>iFnxnEsF191wq>Go@r=+X^T zrk@AVyxRx$Cy=T?gPR$L80ZF3I z)NxMRIe-?m(+y{l$06#Dr3<>@t5egh!Ty;w;G6zWk=b6{%8`Hq0l6at0l@*z_@_E| zGB+`Cc4GW>Vg9Mt)4nW4Ei$15ozh+tlCGgs`jU~6mQm5Iw3U8r~XM6?Jik# zJ0*kA47Ci8AvQ96T@&2Ir9g((;^v)@DB^kBeuxTfS>dQ$$$GK*=*UD7Rb4iwm2WvP z0FQIQ^n7Pfqw4IP=9n**1++(tzPkx`gsvjoa=nL0jkAqL_i}RZ3p8+4YhkL19`WYwtx?kZCKZ3I6^c-W#*HRWC#Yo z@4A$;X{%}8b`mk45U_cJJs~M_tUS_EQa7qgV9tuO@b7r(c#EJ9-2t3+UgdEJoZ464!o5eQ6JW~%d%49N zm8*3oQ}E@3e(+KW0iw8ssrLg0M1TV23dl1?6{p>7lu4@Dg@D82{Rir=7RUmd zw8*gqyc49j4TjK8MI{klUEg=G2o3XwYVSP7{6reL^W5>Ya0*`Kv9L$&tOB##Vh#!fs!C5`t0QS$Vb0%K_)d zd*zVP{Q#WX=a+fH;0-FgSIN-yy?7i?a@~?zFr%cL(HEU1WyU^tG|40{y8wL?9Vi^d zm<@Sx$u^YD=Mx9y&b!J~&km@?>r=*G!u0D@mb~H!lne>Iz;o~bDK3&h5LWhwK`W+s zi;t6e=;n**TAsh$cwV%Zsj@G;F1Ma^ z7ZwIvUP#WQ9)F+fFl|^n(M29UxRF>>EA8c|(Iagw1H)>c556)|8^`({J!9Bx6B`us zh*8X4BS7-~<}(5m7I?6)qMrp<+==}ac`*8hJ6E9u9$eSj$(?Psn>3XIjFL=nCbeA%vJ`rgISo*$InpvE3CBa4Hsx* zZaV!50t+vTM62F>r|qA^4Kz*fX-To(t8WCiV6t}8ijX=fQNhMolYvH+lVNbVqD}hT zu?~EmRZ~hh!I#uZIt*7!RBLWGt(w9Y5iv?PK$JD2FEv-PhLIls5=2ykrd;)H*&Z{~ zCaJu$N-|2HNgdSx8JG8D5s^g8zdHn1f{vuv7}7KI?G|$z|2LT%tpMD#Xv3egs=ncg z)IbHJvPNi%Bn!84N{MX>B#S3^+S3vlAokJdqf~SR#gug#^dP)VL@`BSaHd2>9G;fV zJ83XBWun_gMff?#7WDUXMu?B76iD$Bi^oYvvYH9SH>wf10?P9(0A)R3eHcvjCdra% z7H|i5eBJW893$Tm(pSB#lA-a$<#gwnjNlID6Ailb@f{#tyfQYr)*UvupvP<(GL5DI zp@gp61tu2^#R^f(kS^B4OiA|4jea}= zc)0tpm@0hEJ%Umr-rd1aSR|XxaYhA&CASV5^kGa_Lq^c*1D`|D?;)eiHeH*9$3^No zvTxG$o=k#FolJqOU4Cc9Dr}ddDI8XC)ggmA-m9p{AiTtwgh4Q8%X9HdcH{B_Vp;8?E% z7?=Vz^)GN)M+;ka3n7SCk~;e|`!kdD8QIssXhFbncd{iOliZlcB*b4`5e7Hw)bT#Q z#;$6x$6nPHI;3rMHDqBqm6Z}<2k?YPQV;o4Gd+Gel7o9W$A8Ch|HG`qr#TE9TII6` z`X1L_^;dJ0E7U>5b^c)9!6Rh+*sUW$bg%GlKd6ho2Q=Vlsiw{K(0;O{?!UP=x#@IFhbArW z__dfn<88DI{z{|M_Qw{1e|E?&;z_|4+0q-C-;+_+u1%uq^Lm<7>+el_T_VLS?Ok(A_x< z_$1J5@9VgB_F%61>NKenEPHWcdv4J%{1?A;q(5D5tx@)`jt&MyRDCun?oc#{6N6)C z%JEXP=$Fm5^Y->~^t$*y`2EXfreNS<=K5>Wdsieg=6KKGkb1A=k0Vh*cfMEr zVF3Zq^c{JhpME3^i`ON$L(ugl|nd(dXN(1rg{YQ@nYdTq7APBlYRwgK(W;6B-9 zr4)udcVkTf;~qKLnWV$ zN^PU^0r4s!ifOTHAV%HQ&&1G1YGF4Nm6CPgqS9A=&4=&xyx%N3Q^517yLMc_^XBf+ z+O~WsZb@QrI^OgCw$l6guyB>}_3mN&i(vk{%szPZ*B>q8dQXRfl`6=zV>;(g8fJbLwMNO48x(;7aaJFxTZmaHi?N!@b1R$c3JG|$gMbnM&}daJ~`uPLg%*_ z<7pgU$XKe0!oj7Kw^j_NAlFQ8@N-T`kf;`LPFf(eH@x{#mq8ub<%iJ4_yJCmbd`pt z9ntVS!t=c>HgB|#7I%68gz5LwGRK4w)I1_a5pBFgymWh2fbGlWJuB3zAr4paS$5;y zl4ixKZy6O%v(%2kFtjGOXYn>wW^N8)?pRczsB3xmP+}`GI`i9Usvo~ZA<3;Hf?<+w zg@32!gxq6_Yw3_aEQitZOlrnH@zSxU^)~b9UvF!DEjT3~Sm#UxH59D13krFUz6HxF zrljAvhb2~awo7WMH)H5jxZLAyamgfOZnxSHb zo^PE=W6!bi0zI7aCWW$r>4@ww%|&_UgDU&W>nF9^eVz6q69!8_`1`)=>uUu|K&zMU z5XI@k-Ww&a9ju7}rDjTOj8m8uNVKy+);Hg>Y&G`Z?39Reg|D+%328M_OTO>hl=Bub zuEWm8tqU7!?&QV<92B3K$_YVBBOjj73gm0wX78Lc;1{M(IBL1@ze|E6yF6EE#0#!S zkemGaadkzzc>{>Zn9L{JvNxG7Fb713&GQ>K?J%`{xQc>D5Wd8SZ8gKZ-|d{go!hke_{ zGx^1?K~mZhIQypf26+Y$M15MWDfIW35iYFA#U>e!y%#nEqeYyn;$@h{X+NM+lI4Wa zPm8waN=OKLA|}eV6|?*ilFktvC5tMV#0u?ISmXFUZL9@pnSK~}gQk37FV91b-y>#t zqKwwy_4P@OV?xX+q`v$EsEE5=q!n0st6iV)#4+*aAVhQ?uB(xl2TvPhkYZEUy=r&| zzov8zcYr4&9+AhR^O)URsp=|SbtD3GP-xig=~N>yN)uYr;mgzMzWB)s57m4pDndzT ztZZvZ=0UzdD>NNI?y0%}(@71xzv!G!qo5l8(dnv-UWXd(IBp)`6o6G)r1M?A;MDdD zXW0;^@^DFf^EGGvKvPB27LSzbF2i|>&MgAIpTT0d4VHD>`l=hxIN9&lqfr{Eq}>wFFh`4iaztTs_ud$NjD?-qSD zwtPn{#TqYm((0aq^Q#D*X$=~bECZfJln`YCCFU!z77aA%Z@V3f=Zg9KBE=;fxaAb@ zpzZU7u@|^I-stfjMkf_=BuUUQuzU*8n+`gxeR1sNIaG|kYs=;tyyXYWyR1^jWh)(3 zD4!^+hYrk|v%pAMd0#kD>T=j7T#3(-;xF0mR@5onvWRH;ERn5#;tDTZo;PC027jHU zVgY^anoCqiWMYC)#+M&Gg1xS5GncUTx5hei0754l=$L3Cf`9Ou858`opom@C6rQOBKBKyb|pv=^q7@h597O&_uDz%`943 zirX(Fi>7&GpDOZ26$_;YaWlTj4KTWP)ouygVxM&u$DQFVh39Tf?$CrUJ_`e#<_NLh zsOuC9R49ddtNsv3r~prnueHxQaX46#19^{jGLq`i$Yl;TT4(`hIF2lM-lj%r8OA4W zTO>_16ek0>!WFm-m!5;-lY%m`26wNpWeUr(d^!}j)8^!lD+RV?B25BNjS89;-YOH* zS7;{0PM%E2G1U&_Hfd2HSaiZK!&~=J!+eO1)q=V*;|}z~$u_HUfkCb>G~Hgpsky;p zlETFg%tJSZwUNJ7*~+0pV~e zrqv~t-)Sd4hkrR!6SS5vjaQUJPTp%p&BV%#uMnZwf*_%D?|J63RGgj2uP)8~md{_Vcx=9M+Vhn>TR_jTFY%i>({nKg zj=95PVE`GQmb7#0vzp!EGmvD4=lkE{`R>yw$?+as8s zo$8iMKz)Sp2A*2KqUC1$5O)Er^yG2>lHjSp^0UL^?Mm=chlG-b_7EH9?X={CPJM9L zVXC@ND%AAsk2dyoFKL=qlZTHT$vNG38Y)xt(0JD^x|8_Dc1huSro+R0&V?_A2NJtvu4dE=tkbt(S8|^tV_JnL7m~1Y&s+ zSf0lCCzj0(98HYhIXha|n*D-wyt=R=x-v?8>K&2pO+aYP5)DiNJv8aLxspF;Z6apM z1ifnmlx=>Nxr{X%g|67v4-e{cYi+8}2CI7ONq3{#J&kq^Q#Mn_V`Gn|JEOOwR<^de ztrV+VYL%)EmlCE^ECHKXw8P|XeGw!#D4HT`u|xHgTN-Q#l%9hH$-+U~oI0-_P#B`Obi1+@+)1K5ej!1Qw75s;^W*&1xk>b(%@A4AnSlBBsq~yw zYk-hNPoxMgZ<%Zp^fenmndELz1Omz5$;*;5Yy;$U9q}Wl@fYz4(8w$pZz`pHv9j%Q6Whp_ zxvc4JezI%AzGfWUe*Wf&bw}MmJH_4SYu($%?8{dDt$-SgBl4!o!Z=Xgh|KyxCS=rA zo+#;smHOp!@Fs%eEoqt@oHqre;1|D4`Ob06yDNFbW~4rXLvcbG{iJ2|z49FLE%ct! ztWT}{29e_akK@V6+fjJ8B-hg<_Hv>m4S)(67Jl&$WzFNMW>2Lo5 zr=JP;f96pCk%9l6N&S_74~Xsz>tezXzXg90b@%)-8;BtKj+3-eZ5Q0|VG(L6DdraA z*|V0wLXtOf_(XPj>Do`vct*y0$0odxMo52nHUaQum~5=)y4J?J_{g|DY{Tc0%i zdH7YdUXG$Z5%JmPc8p0~j0Z?_$LI^>NO2E{A7A(w3Lm=NWLSMdf+(;V8NR%M{ktsk zT+xo69&m5mffYf@f9!xgP?I>DIKDG+cK)eS82|Xq%wT9?d!#X}uq1@RPZFZ?utGCbYN71Z`ku>jWg&m|Ubj~DB4;r2Y(`{#e?m<78L`pS*g zii3ObD`t_}AE&USP@8{0clQ?;IDX)6psU(S9rZyDFTLwS?RKUI47#i?ywA>hzVp62 zf{g47_Tk0?1ZfS2cn@48^a}g9^JeOP#wMu^){0<-R_)QTG)zdr(U17ri<&8eTV?IE zgY(GH->IH4NC6|=8#7zC^3{W@q!zBX zB94@}t#ocn2UjI%9^oA_$UC8|MUT(zKa@ z#7Ny6G~59uyoPSf-rAC9zw7jL9`pKUD%$u_Ox6_Fz|X;G@)1Gzc42s`R4!`pyd{C> zB{vqnZT!`@0WkRY4!oQAD2feGL!|9e{Yv8b?_h zs9QQP);%JP*-1O?=a$}Fr5kVcRN|pG#*S6@;frZSDJF26+^#w$B2~nvfENQqzlDmO4k8hviQGVO?0u zAeI+3#|c0If76-AMn0D(Xjt*=di^M;;-IjmnHGsE6teIGC~Kh1QSNhH_$lrf)LI)FI9GqPPqP7~@x~W>gE@uM&{ad8^IPcB$Ww>+=Qr9qr`j6M$u)@WXqQ^l za90%5vv#x&4IZD*B`^Tr=GOiCWeAwUt)4Fe-{+$+$M$}(4;W9FN9_%aUpT4?jc_#D$R<_>uvQ-Wa(PP7cJfhD6FFfA7-hwYmafqAtRE4V+ zHhPEm`s+WI=b
C)cX(s7SureKmiK%aZb@G(WS?nZ1@zw6nU^_nL-AD)mngT_*r zfj;cmYH5V!6uPUMyv%-oN^CG1ZNGSDk!9-v7`!GKCcLAcRm|uJe0e_YSFG68sJ&1d zROAIv-#?{a+X3InKO`9xj2;+H{e9E#zuw%xu7A@4tR(yI4F0_x@n3{LuZ2K({G~bZ zPr^T2w0@J004x1}G;IAz{O>&^zezzr%;A0!|9?74{^av#W5I7usR;k~C;p?&;7=}p zmWY0H!3I{0fJ^zaVDu-0Khsvf8T8=&V(>eO^(X0{QSxunm^c3*{WE6%lf$2Z!EX+0 zB!BL~--Zc)GW^re{>^ZM^cTZFyzIaAy8mSQ?~cK5mLMR36d)k~;U@e^{_i^bujJI! ce Date: Mon, 25 Sep 2023 00:07:18 +0300 Subject: [PATCH 30/35] =?UTF-8?q?=D0=9B=D0=A04.=20=D0=98=D1=81=D0=BF=D1=80?= =?UTF-8?q?=D0=B0=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BF=D1=80=D0=BE?= =?UTF-8?q?=D0=B3=D1=80=D0=B0=D0=BC=D0=BC=D1=8B?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Программа обновлена под 32-разрядные ячейки памяти инструкций --- .../example.txt | 30 ++++--------------- 1 file changed, 6 insertions(+), 24 deletions(-) diff --git a/Labs/04. Primitive programmable device/example.txt b/Labs/04. Primitive programmable device/example.txt index 88e8f2d3..7c67ae60 100644 --- a/Labs/04. Primitive programmable device/example.txt +++ b/Labs/04. Primitive programmable device/example.txt @@ -1,24 +1,6 @@ -E1 -FF -FF -0F -02 -00 -00 -20 -23 -00 -00 -00 -01 -60 -04 -10 -E0 -5F -04 -4F -00 -00 -04 -80 +0FFFFFE1 +20000002 +00000023 +10046001 +4F045FE0 +80040000 From 7d23ab2fb9dfb65dd9a6b84efca9d94c83b7d1e5 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 25 Sep 2023 15:37:00 +0300 Subject: [PATCH 31/35] =?UTF-8?q?=D0=9B=D0=A02.=20=D0=A3=D0=B4=D0=B0=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=D0=B8=D0=B5=20=D1=81=D1=81=D1=8B=D0=BB=D0=BE=D0=BA?= =?UTF-8?q?=20=D0=BD=D0=B0=20=D0=BB=D0=B5=D0=BA=D1=86=D0=B8=D0=B8.md?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/02. Arithmetic-logic unit/README.md | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/Labs/02. Arithmetic-logic unit/README.md b/Labs/02. Arithmetic-logic unit/README.md index 4ef7d13f..6adc74b9 100644 --- a/Labs/02. Arithmetic-logic unit/README.md +++ b/Labs/02. Arithmetic-logic unit/README.md @@ -32,11 +32,11 @@ На рис. 1 изображен пример АЛУ, используемый в книге "Цифровая схемотехника и архитектура компьютера" Харрис и Харрис. На входы `A` и `B` поступают операнды с разрядностью *N*. На трехбитный вход `F` подается код операции. Например, если туда подать `000`, то на выходе `Y` появится результат операции *логическое И* между битами операндов `A` и `B`. Если на `F` подать `010`, то на выходе появится результат сложения. Это лишь пример, разрядность и коды могут отличаться в зависимости от количества выполняемых операций и архитектуры. -Существует несколько подходов к реализации АЛУ, отличающиеся внутренней организацией. Некоторые из подходов освещаются на [`лекции 3`](../../Lectures/03.%20Digital%20arithmetics.md). В лабораторных работах применяется повсеместно используемый подход мультиплексирования операций, то есть подключения нескольких операционных устройств (которые выполняют какие-то операции, например сложения, логическое И и т.п.) к мультиплексору, который будет передавать результат нужного операционного устройства на выходы АЛУ. +Существует несколько подходов к реализации АЛУ, отличающиеся внутренней организацией. В лабораторных работах применяется повсеместно используемый подход мультиплексирования операций, то есть подключения нескольких операционных устройств (которые выполняют какие-то операции, например сложения, логическое И и т.п.) к мультиплексору, который будет передавать результат нужного операционного устройства на выходы АЛУ. Рассмотрим на примере все того же АЛУ MIPS из книги Харрисов. На рис. 2, в левой его части, изображена внутренняя организация этого АЛУ, справа – таблица соответствия кодов операциям. На выходе схемы (внизу) стоит четырехвходовый мультиплексор, управляемый двумя из трех битов `F`. К его входам подключены *N* логических И (побитовое И *N*-разрядных операндов), *N* логических ИЛИ, *N*-разрядный сумматор и Zero Extend – устройство делающее из однобитного числа *N*-битное число, дополняя нулями слева. -К одному из входов этих операционных устройств подключен `A` без изменений, а ко второму подключен выход двухвходового мультиплексора, управляемого оставшимся битом *F*. То есть `F[2]` определяет, что будет вторым операндом: `B` или `~B`. Вдобавок `F[2]` подается на входной перенос сумматора, то есть, когда `F[2] == 1` на выходе сумматора появляется результат операции `A + ~B + 1`, что (с учетом [дополнительного кода](https://ru.wikipedia.org/wiki/Дополнительный_код)) эквивалентно `A – B` (о сумматорах, входных переносах и вычитании на [`лекции 3`](../../Lectures/03.%20Digital%20arithmetics.md)). +К одному из входов этих операционных устройств подключен `A` без изменений, а ко второму подключен выход двухвходового мультиплексора, управляемого оставшимся битом *F*. То есть `F[2]` определяет, что будет вторым операндом: `B` или `~B`. Вдобавок `F[2]` подается на входной перенос сумматора, то есть, когда `F[2] == 1` на выходе сумматора появляется результат операции `A + ~B + 1`, что (с учетом [дополнительного кода](https://ru.wikipedia.org/wiki/Дополнительный_код)) эквивалентно `A – B`. ![../../.pic/Labs/lab_02_alu/fig_02.png](../../.pic/Labs/lab_02_alu/fig_02.png) From 12e6125c71b2d8d6f6203b861c8e0ec8e1184581 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 25 Sep 2023 15:40:18 +0300 Subject: [PATCH 32/35] =?UTF-8?q?=D0=9B=D0=A03.=20=D0=98=D1=81=D0=BF=D1=80?= =?UTF-8?q?=D0=B0=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BE=D0=BF=D0=B5?= =?UTF-8?q?=D1=87=D0=B0=D1=82=D0=BA=D0=B8=20=D0=B8=20=D1=81=D0=BB=D0=BE?= =?UTF-8?q?=D0=B6=D0=BD=D0=BE=D0=B9=20=D1=84=D0=BE=D1=80=D0=BC=D1=83=D0=BB?= =?UTF-8?q?=D0=B8=D1=80=D0=BE=D0=B2=D0=BA=D0=B8.md?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/03. Register file and memory/README.md | 5 ++--- 1 file changed, 2 insertions(+), 3 deletions(-) diff --git a/Labs/03. Register file and memory/README.md b/Labs/03. Register file and memory/README.md index b5fcdd25..9c9f0e7e 100644 --- a/Labs/03. Register file and memory/README.md +++ b/Labs/03. Register file and memory/README.md @@ -49,7 +49,7 @@ - установить сигнал `write_enable` в состояние разрешения записи (как правило это 1) и - дождаться нужного фронта `clk` — в этот момент данные будут записаны по указанному адресу. При этом, на выходе `read_data` будут старые данные, хранящиеся по адресу `addr`. На одном такте происходит одновременное считывание информации и запись новой. -Так же возможна реализация, в которой вход `read_data` и выход `write_data` объединены в единый вход/выход `data`. В этом случае операции чтения и записи разделены во времени и используют для этого один единый порт ввода-вывода (`inout`, двунаправленный порт) `data`. +Так же возможна реализация, в которой вход `write_data` и выход `read_data` объединены в единый вход/выход `data`. В этом случае операции чтения и записи разделены во времени и используют для этого один единый порт ввода-вывода (`inout`, двунаправленный порт) `data`. ![../../.pic/Labs/lab_03_memory/fig_01.drawio.png](../../.pic/Labs/lab_03_memory/fig_01.drawio.png) @@ -223,8 +223,7 @@ mоdulе instr_mеm( ); ``` - -Из [теории про память](#теория-про-память) вы могли догадаться, что такой модуль описывает память ёмкостью `4 GiB`. Однако в реальности, наша память будет куда меньше (в ПЛИС попросту не хватит ресурсов на реализацию памяти подобного объёма). На практике, внутри данного модуля вы должны будете реализовать память с 1024-мя 32-битными ячейками. +Не смотря на разрядность адреса, на практике, внутри данного модуля вы должны будете реализовать память с 1024-мя 32-битными ячейками (в ПЛИС попросту не хватит ресурсов на реализации памяти с 232 ячеек). При этом по спецификации процессор RISC-V использует память с побайтовой адресацией. Байтовая адресация означает, что процессор способен обращаться к отдельным байтам в памяти (за каждым байтом памяти закреплен свой индивидуальный адрес). From 7d12c5ce056871bb696b12b1ef999d2b67ec058a Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Mon, 25 Sep 2023 16:00:16 +0300 Subject: [PATCH 33/35] =?UTF-8?q?=D0=9B=D0=A03.=20=D0=A3=D0=B4=D0=B0=D0=BB?= =?UTF-8?q?=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=B4=D1=83=D0=B1=D0=BB=D0=B8=D0=BA?= =?UTF-8?q?=D0=B0=D1=82=D0=B0=20=D1=81=D0=BB=D0=BE=D0=B2=D0=B0.md?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Labs/03. Register file and memory/README.md | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/Labs/03. Register file and memory/README.md b/Labs/03. Register file and memory/README.md index 9c9f0e7e..d893f762 100644 --- a/Labs/03. Register file and memory/README.md +++ b/Labs/03. Register file and memory/README.md @@ -227,7 +227,7 @@ mоdulе instr_mеm( При этом по спецификации процессор RISC-V использует память с побайтовой адресацией. Байтовая адресация означает, что процессор способен обращаться к отдельным байтам в памяти (за каждым байтом памяти закреплен свой индивидуальный адрес). -Однако, если у памяти будут 32-рязрядные ячейки, доступ к конкретному байту будет осложнен, ведь каждая ячейка — это 4 байта. Как получить данные третьего байта памяти? Если обратиться к третьей ячейке в массиве — придут данные 12-15-ых байт байт (поскольку каждая ячейка содержит по 4 байта). Чтобы получить данные третьего байта, необходимо разделить пришедший адрес на 4 (отбросив остаток от деления). `3 / 4 = 0` — и действительно, если обратиться к нулевой ячейке памяти — будут получены данные 3-го, 2-го, 1-го и 0-го байт. То что помимо значения третьего байта есть еще данные других байт нас в данный момент не интересует, важна только сама возможность указать адрес конкретного байта. +Однако, если у памяти будут 32-рязрядные ячейки, доступ к конкретному байту будет осложнен, ведь каждая ячейка — это 4 байта. Как получить данные третьего байта памяти? Если обратиться к третьей ячейке в массиве — придут данные 12-15-ых байт (поскольку каждая ячейка содержит по 4 байта). Чтобы получить данные третьего байта, необходимо разделить пришедший адрес на 4 (отбросив остаток от деления). `3 / 4 = 0` — и действительно, если обратиться к нулевой ячейке памяти — будут получены данные 3-го, 2-го, 1-го и 0-го байт. То что помимо значения третьего байта есть еще данные других байт нас в данный момент не интересует, важна только сама возможность указать адрес конкретного байта. Деление на 2n можно осуществить отбросив `n` младших бит числа. Таким образом на выход память инструкций должна выдавать данные, расположенные по адресу addr_i[31:2]; From 63426e84598bc0acf046c66ac37f4ede74b3716f Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Wed, 27 Sep 2023 14:09:37 +0300 Subject: [PATCH 34/35] =?UTF-8?q?=D0=9B=D0=A04.=20=D0=9E=D0=B1=D0=BD=D0=BE?= =?UTF-8?q?=D0=B2=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=B4=D0=B5=D0=BC=D0=BE?= =?UTF-8?q?-=D0=BF=D1=80=D0=BE=D0=B3=D1=80=D0=B0=D0=BC=D0=BC=D1=8B=20?= =?UTF-8?q?=D0=BF=D0=BE=D0=B4=2032-=D0=B1=D0=B8=D1=82=D0=BD=D1=8B=D0=B5=20?= =?UTF-8?q?=D1=8F=D1=87=D0=B5=D0=B9=D0=BA=D0=B8=20=D0=BF=D0=B0=D0=BC=D1=8F?= =?UTF-8?q?=D1=82=D0=B8?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../board files/demo.txt | 475 ++++-------------- 1 file changed, 95 insertions(+), 380 deletions(-) diff --git a/Labs/04. Primitive programmable device/board files/demo.txt b/Labs/04. Primitive programmable device/board files/demo.txt index ce6ec5f4..c7215817 100644 --- a/Labs/04. Primitive programmable device/board files/demo.txt +++ b/Labs/04. Primitive programmable device/board files/demo.txt @@ -1,380 +1,95 @@ -21 -42 -07 -00 -02 -02 -00 -00 -01 -40 -84 -10 -63 -94 -11 -00 -01 -60 -04 -10 -0c -00 -06 -00 -0d -40 -01 -00 -0e -02 -00 -00 -2f -00 -00 -00 -0c -40 -b0 -10 -0d -40 -b4 -10 -0e -40 -b8 -10 -0f -40 -bc -10 -10 -00 -10 -00 -11 -80 -01 -00 -12 -14 -00 -00 -73 -00 -00 -00 -22 -00 -00 -00 -43 -00 -00 -00 -84 -00 -00 -00 -05 -01 -00 -00 -06 -02 -00 -00 -07 -04 -00 -00 -08 -08 -00 -00 -09 -10 -00 -00 -ea -1f -00 -00 -0b -00 -04 -20 -00 -00 -04 -30 -00 -00 -04 -30 -00 -00 -04 -30 -00 -00 -04 -30 -00 -00 -04 -30 -00 -00 -04 -30 -00 -00 -04 -30 -00 -00 -04 -30 -00 -00 -04 -30 -c0 -7e -a9 -7e -0b -40 -ad -13 -20 -45 -2c -7c -40 -65 -2c -7c -60 -85 -2c -7c -80 -a5 -2c -7c -a0 -c5 -2c -7c -c0 -e5 -2c -7c -e0 -05 -2d -7c -00 -26 -2d -7c -14 -60 -86 -13 -00 -02 -50 -7c -14 -40 -86 -13 -00 -02 -50 -7c -14 -20 -86 -13 -00 -02 -50 -7c -14 -00 -86 -13 -00 -02 -50 -7c -14 -e0 -85 -13 -00 -02 -50 -7c -14 -c0 -85 -13 -00 -02 -50 -7c -14 -a0 -85 -13 -00 -02 -50 -7c -14 -80 -85 -13 -00 -02 -50 -7c -00 -04 -04 -bc -01 -60 -06 -13 -c0 -03 -04 -bc -01 -40 -06 -13 -80 -03 -04 -bc -01 -20 -06 -13 -40 -03 -04 -bc -01 -00 -06 -13 -00 -03 -04 -bc -01 -e0 -05 -13 -c0 -02 -04 -bc -01 -c0 -05 -13 -80 -02 -04 -bc -01 -a0 -05 -13 -40 -02 -04 -bc -01 -80 -05 -13 -00 -02 -04 -bc -01 -60 -06 -12 -c0 -01 -04 -bc -01 -40 -06 -12 -80 -01 -04 -bc -01 -20 -06 -12 -40 -01 -04 -bc -01 -00 -06 -12 -00 -01 -04 -bc -01 -e0 -05 -12 -c0 -00 -04 -bc -01 -c0 -05 -12 -80 -00 -04 -bc -01 -a0 -05 -12 -40 -00 -04 -bc -01 -80 -05 -12 -60 -17 -04 -bc +00074221 +00000202 +10844001 +00119463 +10046001 +0006000c +0001400d +0000020e +0000002f +10b0400c +10b4400d +10b8400e +10bc400f +00100010 +00018011 +00001412 +00000073 +00000022 +00000043 +00000084 +00000105 +00000206 +00000407 +00000808 +00001009 +00001fea +2004000b +30040000 +30040000 +30040000 +30040000 +30040000 +30040000 +30040000 +30040000 +30040000 +7ea97ec0 +13ad400b +7c2c4520 +7c2c6540 +7c2c8560 +7c2ca580 +7c2cc5a0 +7c2ce5c0 +7c2d05e0 +7c2d2600 +13866014 +7c500200 +13864014 +7c500200 +13862014 +7c500200 +13860014 +7c500200 +1385e014 +7c500200 +1385c014 +7c500200 +1385a014 +7c500200 +13858014 +7c500200 +bc040400 +13066001 +bc0403c0 +13064001 +bc040380 +13062001 +bc040340 +13060001 +bc040300 +1305e001 +bc0402c0 +1305c001 +bc040280 +1305a001 +bc040240 +13058001 +bc040200 +12066001 +bc0401c0 +12064001 +bc040180 +12062001 +bc040140 +12060001 +bc040100 +1205e001 +bc0400c0 +1205c001 +bc040080 +1205a001 +bc040040 +12058001 +bc041760 From 23a31198246f3d96fb4181bdf7589fbcb5fd6310 Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Thu, 28 Sep 2023 13:00:26 +0300 Subject: [PATCH 35/35] =?UTF-8?q?=D0=98=D1=81=D0=BF=D1=80=D0=B0=D0=B2?= =?UTF-8?q?=D0=BB=D0=B5=D0=BD=D0=B8=D0=B5=20=D0=BE=D0=BF=D0=B5=D1=87=D0=B0?= =?UTF-8?q?=D1=82=D0=BA=D0=B8=20=D0=B2=20=D1=81=D0=BF=D0=B8=D1=81=D0=BA?= =?UTF-8?q?=D0=B5=20=D0=BB=D0=B8=D1=82=D0=B5=D1=80=D0=B0=D1=82=D1=83=D1=80?= =?UTF-8?q?=D1=8B?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Other/Further readings.md | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/Other/Further readings.md b/Other/Further readings.md index d992549f..b63d23a3 100644 --- a/Other/Further readings.md +++ b/Other/Further readings.md @@ -8,8 +8,8 @@ - [Дэвид М. Харрис и Сара Л. Харрис: Цифровая схемотехника и архитектура компьютера: RISC-V](#дэвид-м-харрис-и-сара-л-харрис-цифровая-схемотехника-и-архитектура-компьютера-risc-v) - [Дэвид М. Харрис и Сара Л. Харрис: Цифровая схемотехника и архитектура компьютера. Дополнение по архитектуре ARM\*](#дэвид-м-харрис-и-сара-л-харрис-цифровая-схемотехника-и-архитектура-компьютера-дополнение-по-архитектуре-arm) - [под редакцией Романова А.Ю. и Панчула Ю.В.: Цифровой синтез: практический курс](#под-редакцией-романова-аю-и-панчула-юв-цифровой-синтез-практический-курс) - - [Д. Паттерсон и Дж. Хеннесси\*\*: Архитектура компьютера и проектирование компьютерных систем](#д-паттерсон-и-дж-хеннесси-архитектура-компьютера-и-проектирование-компьютерных-систем) - - [Д. Паттерсон и Дж. Хеннесси Архитектура компьютера. Количественный подход](#д-паттерсон-и-дж-хеннесси-архитектура-компьютера-количественный-подход) + - [Д. Паттерсон и Дж. Хеннесси: Архитектура компьютера и проектирование компьютерных систем](#д-паттерсон-и-дж-хеннесси-архитектура-компьютера-и-проектирование-компьютерных-систем) + - [Д. Паттерсон и Дж. Хеннесси: Архитектура компьютера. Количественный подход](#д-паттерсон-и-дж-хеннесси-архитектура-компьютера-количественный-подход) - [С.А. Орлов и Б.Я. Цилькер: Организация ЭВМ и систем](#са-орлов-и-бя-цилькер-организация-эвм-и-систем) - [Д.Н. Беклемишев, А.Н. Орлов, А.Л. Переверзев, М.Г. Попов, А.В. Горячев, А.И.Кононова: Микропроцессорные средства и системы. Курс лекций](#дн-беклемишев-ан-орлов-ал-переверзев-мг-попов-ав-горячев-аикононова-микропроцессорные-средства-и-системы-курс-лекций) - [Э. Таненбаум и Т. Остин: Архитектура компьютера](#э-таненбаум-и-т-остин-архитектура-компьютера) @@ -45,7 +45,7 @@ ![../.pic/Other/Further%20readings/digitaldesign.png](../.pic/Other/Further%20readings/digitaldesign.png) -## Д. Паттерсон и Дж. Хеннесси**: Архитектура компьютера и проектирование компьютерных систем +## Д. Паттерсон и Дж. Хеннесси: Архитектура компьютера и проектирование компьютерных систем Отцы архитектуры **RISC** делятся накопленным опытом. Не только рассказывают, как процессоры работают, но и как их построить, прививают принципы проектирования, красиво указывают на заблуждения, дают хитрые задания, да и вообще книга богата полезной информацией. Нетленка. Не зря на лицевой стороне книги написано *классика computer science*. Заканчивается книга разбором многоядерных, многопроцессорных параллельных систем. Если решишь поставить к себе на полку, то придется подождать когда она [вновь поступит в продажу](https://www.ozon.ru/context/detail/id/7425447/) или поискать на полках магазинов.