Skip to content

Releases: FPGAwars/icestudio

0.3.0-rc

29 Mar 23:36
Compare
Choose a tag to compare
0.3.0-rc Pre-release
Pre-release

Changelog

Collections

  • Fix adding multiple collections files
  • Install README.md, .v, .vh and .list files from a collection
  • Save included files when a project is saved
  • Install the "Default collection" with npm install
  • Edit collection name on install
  • Add View > Collection info: README viewer

Verilog error detection: video

  • Detect and parse iverilog errors
  • Detect and parse yosys errors
  • Mark Generic block errors
  • Mark Code block errors
  • Add warning/error annotations to Code blocks

General

  • Fix Project Information SVG management
  • Windows installer: separate 32/64 bit installation
  • Add linux [un]installer scripts
  • Update galician translation (#142 by @xoan)
  • Update basque translation (#143 by @lorea)
  • Update basque translation (#144 by @1138-4eb)
  • Add catalan translation (#145 by @fsayas)
  • Create AppImage packaging (also grunt-appimage plugin)
  • Add "Remove selected blocks" using "Back" key
  • Improve Mac OS drivers management (More information)
  • Open Homebrew website when the notification is clicked
  • Draw "Remove wire tool" at the end of the wire
  • Package windows_(x86|amd64) toolchains
  • Refactor mouse interaction (More information)
  • Improve blocks creation (move and click)
  • Update french translation (#148 #149 #150 #151 by @pcotret)
  • Add "missing xclip" notification
  • Avoid to close menu on click
  • Fit desing to window: open project and resize
  • Apply translations to Info blocks
  • Disabe Code/Info selection on blur
  • Add Readonly property to Info block
  • Add "language change" to the undo stack
  • Update es_ES translation

0.3.0-beta3

14 Feb 09:47
Compare
Choose a tag to compare
0.3.0-beta3 Pre-release
Pre-release

Changelog

Create Windows installer

  • Uninstall previous version if required
  • Install Python 2.7 if required
  • Install Icestudio app
  • Install Icestudio shortcut
  • Register .ice files: open in Icestudio with double-click
  • Uninstaller: remove toolchain, profile or collections

Add Board rules

  • Output rules:
    • Initialize not used ports contained in the rules
  • Input rules:
    • Applied to Code/Generic input ports
    • Detect not connected ports contained in the rules
    • Render port connection rule
  • Add Edit > Preferences > Board rules > Enable/Disable
  • Add View > Board rules
  • Update rules on board change

Update Collections

  • New structure:
    • blocks
    • examples
    • locale
    • package.json
  • Validate collection before install
  • Add File > Blocks section
  • Recursive load of blocks and examples
  • Manage translations for installed collections

General

  • Update eu_ES translation (#129 by @Lorea-Aldabaldetreku)
  • Store current board in the profile
  • Filter Input & Output pins in the I/O blocks options
  • Toggle selected block with right-click
  • Add "Show clock" option in the Input blocks
  • Refactor Shortcuts system
  • Add Shorcuts for Mac OS X
  • Group "keyboard arrow steps" in time
  • Add resizer tool to Code/Info blocks
  • Style improvements
  • Manage paths with spaces and non-ASCII characters
  • Add menu Select > Boards/Collections
  • Bugfix verilog compiler: self-connected wires
  • Apply zoom to Code/Info blocks content
  • Paste on multiple Icestudio windows (copy also wires)
  • Remember old port connections after Code block edition
  • Detect project board on load
  • Save profile when an attribute is set
  • Update es_ES translation

Thanks to Patripi for the feedback and suggestions!

0.3.0-beta2

20 Jan 14:57
Compare
Choose a tag to compare
0.3.0-beta2 Pre-release
Pre-release

Changelog

Upgrade Edit menu

  • Add Edit > Undo/Redo. Detect the following actions:
    • Add or remove a block
    • Add or remove a wire
    • Move a block or a blocks selection
    • Edit an I/O block: name, type and value
    • Edit a Constant block: name, type and value
    • Edit a Code block: ports, parameters and content
    • Edit an Information block: content
    • Change the board
  • Add Edit > Cut
  • Add Edit > Copy
  • Add Edit > Paste
  • Add Edit > Select all
  • Add Edit > Fit content

Multiple windows

  • File > New: launch a new window
  • File > Open: launch a new window if required
  • File > Examples: launch a new window if required
  • Add argument to the application: eg: ./icestudio /path/to/project.ice
  • Show project changed state

New project format 1.1

  • Improve dependency management
  • Update compiler to 1.1
  • Add backwards compatibility (1.0, 0)

Add collections

  • Add Tools > Collections > Add
  • Add Tools > Collections > Remove
  • Add Tools > Collections > Remove all
  • Add View > Collections

General

  • Update eu_ES translation (#121 by @Lorea-Aldabaldetreku)
  • Add block tooltips (package.description)
  • Add more shortcuts (https://github.com/FPGAwars/icestudio/wiki)
  • Improve blocks selection
  • Move blocks selection with the arrow keys
  • Minor style improvements
  • Update blocks, examples and samples
  • Change !"Virtual port" to "FPGA pin"
  • Improve Basic menu style
  • Light resources load on start (examples, blocks)
  • Package without ZIP compression (Improve start performance on Windows)
  • Remove unnecessary .so and .dll files from packaging
  • Update POT file and es_ES translation

0.3.0-beta

23 Dec 12:36
Compare
Choose a tag to compare
0.3.0-beta Pre-release
Pre-release

Changelog

Constant block

  • Add constant blocks
  • Add local parameter flag
  • Add parameters to Code blocks
  • Add parameters to Generic blocks

Project format

  • New project format: version 1.0
  • Insert compresed SVG in the project (using SVGO)
  • Refactor project management: new project.service
  • Add Edit > Preferences > Project information prompt
  • Open, Save, Reset and pre-visualize the SVG image
  • Update all compilers to new project format
  • Backwards compatibility: notify and update "Old projects"

Bus wires support

  • Add Input/Output bus: using [x:y] notation
  • Add Code block ports bus: using [x:y] notation
  • Refactor blocks management: blocks service
  • Update all compilers for bus wires management
  • Prevent different wire size connections
  • Add bus wires to Generic blocks

General

  • Update french translation (#110 by @Martoni)
  • Add debouncer block (by @Obijuan)
  • Add invalid connection notifications
  • Remove templates (not used)
  • Update bower dependencies
  • Install libffi in Mac OS drivers configuration (thanks Patripi!)
  • Sort Input/Output ports by position (x,y)
  • Add File > Quit
  • Check source code using JSHint
  • Add contador (#118 by @C47D)
  • Add virtual I/O ports (green)
  • Check wrong port names
  • Bug fixes and visual improvements
  • Update examples and blocks to new project format
  • Add project samples
  • Update POT file and es_ES translation

0.2.4-dev

09 Dec 19:05
Compare
Choose a tag to compare
Update bower dependencies

0.2.3

01 Dec 22:57
Compare
Choose a tag to compare

Changelog

  • Detect system language
  • Add iCE40-HX8K PCF pinout (#103 by @Democrito)
  • Add multiple input prompt in Code block dialog
  • Add warning notifications for invalid connections
  • Add FPGA used resources notification
  • Improve Verilog compiler
  • Synchronize included files from a "Imported block"
  • Fix translations in dialog buttons
  • Add back link in block examination
  • Add selected board in the footer
  • Improve notifications: errors up to 30s
  • Fix Code block shortcuts (Mac OS)
  • Remove block using "Back" key (Mac OS)
  • Include a base directory in the zip packages (#107)
  • Remove grid: canvas truncate problem
  • Add Ctrl+p to take a snapshot
  • Add dot bifurcations in wires
  • Fix SVG Pinout viewer (Windows)
  • Little update in French translation (#109 by @pcotret)
  • Minor style improvements and bug fixes

Thanks to AlexTC for the feedback and suggestions!

beta

  • Improve development mode
  • Add osx32 package
  • Add Config > Tri-state block (#91 by @set-soft)
  • Add French translation (#92 by @Martoni)
  • Reorder File > Export menu
  • Add grunt toolchain task to generate standalone distributions
  • Add Toolchain > Reset default
  • Add apio min/max version
  • Add Travis-ci packaging
  • Add Travis-ci deployment
  • Reorder examples by board
  • Update IceZUM Alhambra examples
  • Remove _build dir on start
  • Add Apio version in Tools > Toolchain
  • Refactor boards management
  • Add View > PCF
  • Add View > Pinout (SVG)
  • Add View > Datasheet (url)
  • Reorder Edit > Preferences
  • Improve Drivers > Enable in Mac (thanks AlexTC)
  • Improve translation system: using PO files

0.2.3-beta

21 Nov 09:09
Compare
Choose a tag to compare
0.2.3-beta Pre-release
Pre-release

Changelog

  • Improve development mode
  • Add osx32 package
  • Add Config > Tri-state block (#91 by @set-soft)
  • Add French translation (#92 by @Martoni)
  • Reorder File > Export menu
  • Add grunt toolchain task to generate standalone distributions
  • Add Toolchain > Reset default
  • Add apio min/max version
  • Add Travis-ci packaging
  • Add Travis-ci deployment
  • Reorder examples by board
  • Update IceZUM Alhambra examples
  • Remove _build dir on start
  • Add Apio version in Tools > Toolchain
  • Refactor boards management
  • Add View > PCF
  • Add View > Pinout (SVG)
  • Add View > Datasheet (url)
  • Reorder Edit > Preferences
  • Improve Drivers > Enable in Mac (thanks AlexTC)
  • Improve translation system: using PO files

0.2.3-dev

17 Nov 21:40
Compare
Choose a tag to compare
0.2.3-dev Pre-release
Pre-release

Automatic standalone releases generated with travis-ci

0.2.2

10 Nov 19:02
Compare
Choose a tag to compare

Changelog

  • Add Hex 7 Segments CC (#80 by @C47D)
  • Add Hex 7 Segments CA (#81 by @C47D)
  • Support Kefir I board (@set-soft)
  • Add default_nettype none to generated verilog files
  • Add Icestudio headers to generated files
  • Add verilog header (.vh) files inclusion
  • Add File > Export testbench
  • Add File > Export GTKWave
  • Compatible with apio-debian (#87 by @set-soft)
  • Add Tools > Enable/Disable drivers for Linux, Mac and Windows
  • Install gtkwave toolchain package (only for Windows)
  • Update spanish translation
  • Improve internet connection detection
  • Add iceblink40 pinout (#90 by @Democrito)
  • Minor fixes Windows and Mac OSX
  • Use apio version [0.1.9, 0.2.0)

0.2.2-rc

03 Nov 11:21
Compare
Choose a tag to compare
0.2.2-rc Pre-release
Pre-release

Changelog

  • Add Hex 7 Segments CC (#80 by @C47D)
  • Add Hex 7 Segments CA (#81 by @C47D)
  • Support Kefir I board (@set-soft)
  • Add `default_nettype none to generated verilog files
  • Add Icestudio headers to generated files
  • Add verilog header (.vh) files inclusion
  • Add File > Export testbench
  • Add File > Export GTKWave
  • Compatible with apio-debian (#87 by @set-soft)
  • Add Tools > Enable/Disable drivers for Linux, Mac and Windows
  • Install gtkwave toolchain package (only for Windows)
  • Update spanish translation